CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - iic fpga

搜索资源列表

  1. I2C_loader

    0下载:
  2. 用FPGA做主控制器,对IIC从设备配置参数的源程序。Xilinx提供-FPGA master controller, right from the IIC equipment configuration parameters of the source. Xilinx offer
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:93791
    • 提供者:cloud
  1. i2c

    0下载:
  2. SAA7114 和 FPGA/CPLD之间通讯的程序,本人觉得比较好,而且里面还添加了,ROM,用来存取IIC的常数和读来的数据。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8156
    • 提供者:张亚伟
  1. iic_bus_example

    0下载:
  2. 使用vhdl构建的iic总线,对应与fpga的硬件开发平台
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:217023
    • 提供者:惠普
  1. iicmainrd_32

    0下载:
  2. 用FPGA实现IIC通讯的主控端,最简化的代码,占用最小FPGA资源-Use FPGA to come ture the main control of the iic comunication, the most simple code and using the least FPGA resource
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:224040
    • 提供者:陈雨
  1. i2c总线的vhdl实现和vxworks的文件系统.rar

    1下载:
  2. i2c总线的vhdl实现和vxworks的文件系统,i2c bus VHDL realization and VxWorks file system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:16238
    • 提供者:李建平
  1. 61EDA_D1037

    0下载:
  2. 实现IIC协议,非常适合初学FPGA者,是很好的参考代码。-EEPROM
  3. 所属分类:source in ebook

    • 发布日期:2017-05-02
    • 文件大小:526375
    • 提供者:姜虹
  1. IIC_slave_code

    1下载:
  2. I2C slave 代码,可以完成从机功能-about I2C slave code about I2C slave code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:185369
    • 提供者:qinjuanyan
  1. i2c

    0下载:
  2. 基于FPGA的IIC控制程序,采用状态机来描述,解决了总线冲突问题。-FPGA-based IIC control procedures, using state machines to describe and solve the bus conflicts.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:401550
    • 提供者:李成有
  1. NiosII_IIC_Lab

    0下载:
  2. FPGA,基于NIOSII的IIC的程序-iic program based on niosII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:970498
    • 提供者:付秀泉
  1. FPGA_I2C_module

    0下载:
  2. 这是对通过FPGA实现IIC总线的简单介绍,对于学习IIC总线的很有用。-this is the introduce of IIC bus design with FPGA,it is very useful for unstandand the iic bus.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-08
    • 文件大小:755921
    • 提供者:王名
  1. example

    0下载:
  2. 我FPGA开发板的程序!!!包括数、码管iic、VGA、乘法器、串口。加法器、比较器、状态机等等等了,主要是VHDL的也有部分好似Verilog的。参考下吧-verilog...vga..uart...add...etc..
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6266775
    • 提供者:地主
  1. FPGA_TEST_IIC

    0下载:
  2. 在FPGA上实现IIC总线的测试程序代码-IIC bus in the FPGA to achieve the test program code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:265566
    • 提供者:duanhaiyang
  1. I2Csaa7113

    0下载:
  2. 利用FPGA模拟IIC总线对7113视频解码芯片进行初始化,并可以读出相应寄存器的值-FPGA simulation using IIC bus on the 7113 to initialize the video decoder chip, and can read out the value of the corresponding register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:943489
    • 提供者:杨然
  1. 1

    0下载:
  2. 基于FPGA的IIC传输协议的实现,已经验证可以使用-FPGA-based implementation of IIC protocol has been verified using
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:952
    • 提供者:zhao
  1. IICComponent

    0下载:
  2. IIC的vhdl实现,用ISE12.1建的项目,读取eeprom的接口代码-using FPGA to communicate with the EEPROM through IIC connector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:652975
    • 提供者:一笑
  1. 13-45

    0下载:
  2. 本程序能够完成的功能是,自动或手动测温,读取配置寄存器,温度下限寄存器,温度上限寄存器,设定温度上限及下限,当温度到达预定的温度的时候报警。所有的读取操作都可以在数码管上显示。其中,温度的上限通过计算机用串口通信协议传输给FPGA内部寄存器然后按动开关写入。同时,本程序还驱动了一个直流电机,温度高的时候电机转速高,温度低的时候转速降低直至停转。数码管可以实时显示电机转速,2秒刷新一次。数码管的显示可以在显示的温度、寄存器值和直流电机转速间切换,切换通过串口进行,计算机发00H时切换到温度及寄存器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3570193
    • 提供者:Kimi
  1. I2C_Slave

    0下载:
  2. 这是iic Slave模式的源代码,可用于嵌入式FPGA,挂载在PLB总线上-This is iic Slave mode, the source code for embedded FPGA, mounted on the PLB bus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:8664
    • 提供者:吴言
  1. iicbus

    0下载:
  2. fpga nios iic gprs verilog 设计-fpga nios iic gprs verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1909730
    • 提供者:zyc
  1. i2c_slave

    0下载:
  2. iic slave端,项目中已经用过,可用适用所有传输速率,板间通信,接口少的情况下,可用该程序实现多参数传输,状态监控。(The IIC slave terminal has been used in the project. It can be applied to all kinds of transmission rate, inter board communication and less interfaces. The program can achieve multi param
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:3072
    • 提供者:橙子很好吃
  1. I2C

    1下载:
  2. xilinx FPGA驱动IIC例程DEMO(A IIC driver demo of xilinx FPGA with verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:3292160
    • 提供者:amzhy8
« 1 2 34 5 »
搜珍网 www.dssz.com