CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - lcd fpga

搜索资源列表

  1. LCD_Driver

    0下载:
  2. LCD的驱动程序 用verilog HDL 编写 可以用于FPGA上 经过测试 可以使用
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1818
    • 提供者:德刚
  1. PBLCD

    0下载:
  2. FPGA 基于PICOBLAZE内核的LCD显示程序,完整,XILINX
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:635225
    • 提供者:鲍纯贝
  1. kbg

    1下载:
  2. 一个基于FPGA的游戏,其中包含多个项目,有吃豆子,可以连接到LCD的显示输出.该源码用xilinx的ise仿真综合成功,并且用spartan3开发板测试.可以有2个人在键盘上对弈.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2127
    • 提供者:陈想
  1. image1280-50M

    0下载:
  2. FPGA控制LCD屏幕显示图像,方块移动,闪烁等
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:319277
    • 提供者:xianchunwwang
  1. 50vvoltmeter

    0下载:
  2. 通过外部的键盘可以对系统进行复位控制和显示档位选择,不同的档位选择不同的输入电压范围(0~5、5~50)。 (1)控制部分:采用FPGA为控制核心 (2)AD转换部分:采用逐次逼近(比较)型AD转换器ADC0809; (3)显示部分:采用液晶LCD显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:138719
    • 提供者:丁珊珊
  1. lcd1602

    0下载:
  2. FPGA工程文件 通过FPGA在LCD上显示“this is my frist program\"的字体 已经验证,供大家学习使用。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:631463
    • 提供者:马亮
  1. S4_LCD_V

    0下载:
  2. 我买的红色飓风FPGA,EP1C6开发板的配套USBA实验例程 LCD模块的程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:931104
    • 提供者:孙建军
  1. wumayi

    0下载:
  2. 研究了传统误码仪的工作原理与结构,并利用VHDL语言在FPGA芯片上模拟实现了绝大部分的传统误码仪的功能,如LCD显示驱动,串口通信驱动,误码测试,数据存储芯片驱动等功能.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4049247
    • 提供者:张杰
  1. DDS

    0下载:
  2. 利用EDA技术和FPGA在UP3开发板上实现直接数字频率综合器的设计。 实验中加入了相位控制字PWORD,用以控制相位偏移量的前四位,将相位偏移量加到ROM地址总线 上,从而引起从ROM中取得的正弦信号的偏移,实现移相信号发生器的移相功能。 实验中还加入了LCD显示功能,通过LCD显示模块器件,用LCD显示正弦信号的频率,所显示的频 率也是由频率字控制的。LCD的驱动原理同上次实验。
  3. 所属分类:波变换

    • 发布日期:2008-10-13
    • 文件大小:1225901
    • 提供者:Emma
  1. s6_lcd_v

    0下载:
  2. FPGA实现的LCD接口,VHDL编程,FPGA芯片为ALtera公司的EP2c35
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1205425
    • 提供者:蔡白银1
  1. lcd_com1

    0下载:
  2. 青云开发的LCD模块LCM240128ZK3用于ALTERA的FPGA,自己写的AVALON总线IP核,供大家参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4782
    • 提供者:张敏
  1. xd_lcd_comp

    1下载:
  2. 一款240*128的LCD模块在ALTERA FPGA NIOS中的应用,自己写的AVALON总线IP,包括所有源码,可轻松用于NIOS中,供大家参考
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13227
    • 提供者:张敏
  1. DSP硬件论文集

    0下载:
  2. DSP与点阵式LCD显示器的接口设计.caj 带有DSP芯片的新型实时数字图像处理系统.caj DSP体系结构在提高实时信号处理方面的作用.caj 用可再配置FPGA实现DSP功能.caj Windows环境下DSP的开发和语音分析工具SAC.caj 一种高速PC-DSP控制系统.caj
  3. 所属分类:文档资料

    • 发布日期:2009-06-22
    • 文件大小:1061320
    • 提供者:yunfly80
  1. 用verilog编写的液晶显示程序

    0下载:
  2. 用verilog编写的液晶显示程序,已调试通过。 1、 本工程主要是设计一个LCD的控制模块,然后在LCD上显示想要显示的数据。  2、 通过JTAG口把LCD12864.sof下载到FPGA上,则LCD就会显示出要显示的数据。-Written liquid crystal display with verilog program has been through debugging. 1, this project is to design a LCD control module, a
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-09
    • 文件大小:1089334
    • 提供者:merlin
  1. EP3C25EVM.rar

    0下载:
  2. cyclone III EP3C25 开发板原理图,包括flash, sdram, usb, ethernet 等接口电路,可作设计参考。,cyclone III EP3C25 development board schematic diagram, including flash, sdram, usb, ethernet interface circuit, etc., can be used for design.
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:264710
    • 提供者:txs
  1. lcd1602.rar

    0下载:
  2. 通过FPGA做LCD屏的显示控制,简单易学,Done through the FPGA control of LCD display screen, easy to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:608662
    • 提供者:chengliu
  1. 1602_B

    0下载:
  2. veilog写的用在FPGA上,1602的LCD 驱动程序,调试通过-veilog writing used in the FPGA, 1602, the LCD driver debugging through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:398522
    • 提供者:曾古与
  1. lcd_dsp

    0下载:
  2. verilog编写的串口和液晶驱动的程序。FPGA接收串口的数据,然后在液晶上显示,用的是黑金开发板。-verilog prepared by the serial and the LCD driver program. FPGA receives serial data, and then in the liquid crystal display, with the black gold development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:25279488
    • 提供者:zhangshaobo
  1. LCD12864

    0下载:
  2. 1 fpga驱动lcd液晶12864的verilog源程序 (显示英文,可以在源程序中直接修改成自己想要显示的英文) 2 引脚配置完成,程序已经测试,完全好用 3 使用的FPGA芯片是altera的max2EP2C5T1-1 fpga driver' s verilog source code 12864 lcd LCD (display in English, you can directly modify the source program into what you w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:620019
    • 提供者:pomao
  1. fpga_16bit

    0下载:
  2. Use FPGA to light on LCD module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:919563
    • 提供者:lslyan
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 25 »
搜珍网 www.dssz.com