CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - lcd fpga

搜索资源列表

  1. LCD12864

    0下载:
  2. 利用FPGA在12864液晶屏上显示汉字。配置IO后可直接使用-Use of FPGA in the 12864 character LCD display. IO configuration can be used directly after
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-26
    • 文件大小:1588
    • 提供者:彭杨
  1. auto_graphics_ref_design-v1.0.4

    0下载:
  2. 基于NIONII的图像获取与真彩屏LCD显示例子,非常好。通过修改可适用于ALTERA的FPGA多个系列。-Based on the image acquisition NIONII color LCD display with real examples, very good. By modifying the applicable ALTERA multiple series of FPGA.
  3. 所属分类:Special Effects

    • 发布日期:2014-09-24
    • 文件大小:18883058
    • 提供者:zhanghh624
  1. DEMO_V

    0下载:
  2. 黑金FPGA开发板(学生)测试程序 VHDL语言 包括led 按键 串口 lcd的检测-Black Gold FPGA development board (student) test procedures VHDL language, including the detection of serial lcd led key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:125811
    • 提供者:zhang
  1. TVbox_242_V1.0_schematic

    0下载:
  2. CVBS TO VGA 原理图.是个完整的方案,其余的程序,说明文档也会陆续发上来.-CVBS TO VGA schematic. Is a complete program, the rest of the procedures, documentation will all be made up.
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:120418
    • 提供者:lichuang
  1. firshuzilvboqi

    0下载:
  2. :介绍了基于FPGA的FIR数字滤波器的设计与实现,该设计利用Matlab工具箱设计窗函数计算FIR滤波器系数,并通过VHDL层次化设计方法,同时FPGA与单片机有机结合,采用C51及VHDL语言模块化的设计思想及进行优化编程,有效实现了键盘可设置参数及LCD显示。结果表明此实现结构能进一步完善数据的快速处理和有效控制,提高了设计的灵活性、可靠性和功能的可扩展性。 -: This paper presents FPGA-based FIR digital filter design and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:7439
    • 提供者:佘斌
  1. EG7014_v1.0

    0下载:
  2. 用于fpga对EG7014液晶屏的刷新显示。avalone接口。-For the FPGA on the EG7014 LCD display refresh. avalone interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1380
    • 提供者:
  1. lcdcontrol

    0下载:
  2. 用FPGA对LCD进行控制,实现其显示不同的文字,用ASCII设置文字。-Using FPGA to control the LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2819
    • 提供者:Nila
  1. juxing

    0下载:
  2. 利用FPGA编写程序控制液晶显示器显示矩形!-Prepared using FPGA control rectangular LCD display!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1446
    • 提供者:帅哥
  1. opb_lcd_controller_v1_00_a

    0下载:
  2. spartan3系列fpga opb模式下lcd液晶屏控制代码-spartan3 Series fpga opb mode lcd LCD screen control code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5112
    • 提供者:刘佳
  1. analysisvoltagesystem

    0下载:
  2. 摘要:电压监测仪的校验工作量大、 效率和精度低, 为保证电压监测仪性能指标, 研制了一种对单相电压监测统计仪进行精度、 灵敏度、 谐波、 时间试验的校验装置。装置以高速单片机为核心, 利用丰富的P C机资源、 融合F P G A 技术、 点阵图形液晶等技术, 实现监测仪误差校验过程的自动控制、微机数据管理、 程控操作、 故障保护。实验结果表明装置综合误差为0 . 1 级, 输出电压失真度小于0 . 5 , 系 统运行准确、 数据传输可靠、 操作方便及功能完善。-Abstract: The v
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:455667
    • 提供者:linfeng
  1. A3P030FPGAl602LCD

    0下载:
  2. 利用actel公司的proasic3系列FPGA A3P030,VHDL编程,实现对LCD模块1602C的显示控制.已经调试通过.已经形成模版,可以进一步使用开发.-Actel companies use proasic3 series FPGA A3P030, VHDL programming, implementation of the LCD display control module 1602C.Has debugging. Has become the template, you c
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1865
    • 提供者:sprlei
  1. lcddriver

    0下载:
  2. 基于FPGA的lcd的驱动程序,用VHDL语言编写-FPGA-based driver lcd with VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11945
    • 提供者:ghost
  1. Ctl_LCD

    0下载:
  2. 采用FPGA控制LCD。程序中用了两个状态机-FPGA to control the use of LCD. Procedures with two state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1007263
    • 提供者:石海龙
  1. S6_LCD_V

    0下载:
  2. 利用FPGA和硬件描述语言来控制字符型液晶显示器的读写-The use of FPGA and hardware descr iption language to control the read and write character LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:816465
    • 提供者:刘飞
  1. lcd_test

    0下载:
  2. 基于FPGA的LCD1602a显示.在液晶屏上显示英文字符“My rongrong”-FPGA-based display LCD1602a. In LCD display English characters "My rongrong"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:479766
    • 提供者:杨译名
  1. LCD_vhdl

    0下载:
  2. LCD控制VHDL程序与仿真, FPGA驱动LCD显示中文字符“年”程序-lcd vhdl driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:4882
    • 提供者:jz
  1. DM10_KX8051_LCD128X64_C5T

    0下载:
  2. 在fpga内拟一个51单片机的核,实现按键控制12864液晶屏的显示-Fpga in the MCU 51 to be a nuclear, to achieve the control button 12864 LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:551262
    • 提供者:邢旭
  1. 9927416lcd1602

    0下载:
  2. 非常常见的液晶lcd1602控制程序,vhdl代码,可用于FPGA开发使用-Very common LCD lcd1602 control procedures, vhdl code, can be used to develop the use of FPGA
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:2957
    • 提供者:zhang
  1. Mars-EP1C6-F_code2

    0下载:
  2. 此包为FPGA学习板接口实验程序源代码,共包括13个实验程序,有7段数码管,1602液晶显示,12864液晶显示,I2C总线,串口通信,拨码开关等.-The packet interface to FPGA board experimental procedure to study the source code, a total of 13 experimental procedure, there are 7-segment digital tube, 1602 LCD 12864 LCD,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4633951
    • 提供者:sunxh092
  1. lcd_driver

    0下载:
  2. 用fpga驱动lcd的原代码,是用vhdl语言实现的-drive lcd by fpga,the source program is written by vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1075
    • 提供者:huzhaoji
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 25 »
搜珍网 www.dssz.com