CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - lcd vhdl

搜索资源列表

  1. 8.3-LCD-control-VHDL-program

    0下载:
  2. 功能:FGAD驱动LCD显示中文字符“年”。-Function: FGAD drive LCD displays in Chinese characters " years."
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4746
    • 提供者:HUANGTIANWEN
  1. LCD

    0下载:
  2. 基于fpga的vhdl设计的lcd显示的内容及其代码-the code of lcd based on fpga with vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:21611
    • 提供者:Micheal
  1. LCD

    0下载:
  2. 用VHDL语言在W90P710下编写LCD程序。在LCD上显示图片,汉字等内容。-VHDL language written in W90P710 LCD program. Pictures, such as Chinese characters displayed on the LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:232234
    • 提供者:dxk
  1. LCD

    0下载:
  2. VHDL语言的LCD显示程序,里面总共有两个程序,仅供参考-The VHDL language LCD display program,There are two procedures, for reference only
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:9461
    • 提供者:wll
  1. LCD

    1下载:
  2. VHDL语言由1206显示rom中的数据-VHDL language rom in the data from 1206 show
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:800289
    • 提供者:张喆
  1. 8.3-LCD

    0下载:
  2. LCD控制VHDL程序与仿真 功能:FGAD驱动LCD显示中文字符“年”-LCD control procedures and VHDL simulation the: FGAD drive LCD display Chinese characters " years"
  3. 所属分类:MPI

    • 发布日期:2017-11-30
    • 文件大小:4792
    • 提供者:jack
  1. lcd

    0下载:
  2. 控制LCD1602显示的VHDL程序 自带延迟和1602字库-Control LCD1602 displayed VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:2267145
    • 提供者:操瑞杰
  1. LCD-KS0108B-FPGA.tar

    0下载:
  2. LCD控制器KS0108 FPGA控制器VHDL源码-LCD KS0108 FPGA VHDL Source
  3. 所属分类:SCM

    • 发布日期:2017-11-08
    • 文件大小:7767
    • 提供者:房有定
  1. LCD-NH12864J-VHDL

    0下载:
  2. LCD NH12864J控制器编程实例,可作为编程的参考-LCD NH12864J Controler Prog examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:546373
    • 提供者:房有定
  1. LCD-1602

    0下载:
  2. VHDL语言实现的 简单LCD1602显示程序 很有参考价值-Simple VHDL language LCD1602 display program of great reference value
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:673115
    • 提供者:冯科磊
  1. lcd

    0下载:
  2. LCD_1602(VHDL)显示自定义字符“贾”,显示通讯状态“send”和“recieve”,状态机,结构简单易读稳定,非常适合初学者。此程序已在altera开发板运行成功。-LCD_1602 (VHDL) custom character " Jia" , the communication status " send" and " recieve the state machine, easy-to-read structure stable, v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:4468538
    • 提供者:jiazhaorong
  1. VHDL

    0下载:
  2. verilog程序包 包括数码管显示 lcd 红外线接收和读取 -Verilog package includes digital display lcd infrared receiver and read
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:9709375
    • 提供者:小白菜
  1. LCD-control-procedures

    0下载:
  2. 使用VHDL语言,编写的LCD控制VHDL程序与仿真-Using VHDL language, prepared by the LCD control procedures and VHDL simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:4959
    • 提供者:ludongdong
  1. VGA-LCD

    0下载:
  2. FPGA的VGA和LCD显示,用VHDL语言实现-FPGA VGA and LCD display, with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:168222
    • 提供者:胡俊杰
  1. lcd

    0下载:
  2. 基于VHDL语言的12864液晶显示屏驱动程序。可以显示文字,字符等。开发工具为Quartus-Based on VHDL 12864 LCD driver. Can display text, characters and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4092
    • 提供者:dunlana
  1. lcd

    0下载:
  2. 这是学习FPGA的学习代码,语言是VHDL,主要控制LCD12864的显示。-This is learning FPGA learning code, the language is VHDL, the main control display LCD12864.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1833
    • 提供者:李明旭
  1. VHDL-TFT-LCD

    0下载:
  2. 使用VHDL语言,控制LCD屏 ,实现显示与屏幕的切换-Using VHDL language, control LCD screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1355025
    • 提供者:赵慧
  1. 液晶lcd1602控制程序vhdl代码

    0下载:
  2. 非常常见的液晶lcd1602控制程序,vhdl代码,可用于FPGA开发使用-Very common LCD lcd1602 control procedures, vhdl code, can be used to develop the use of FPGA
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-14
    • 文件大小:3004
    • 提供者:edthe
  1. lcd

    0下载:
  2. 该压缩包内涵一个由VHDL语言编写的程序,里面是一个完整的项目工程,实现的功能是LCD12864液晶屏的显示-The connotation of a compressed package from the VHDL language program, which is a complete project, the function is LCD12864 LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1772231
    • 提供者:杰西卡
  1. lcd

    0下载:
  2. implementation of 16x2 lcd module driver in vhdl with the scroll a read facility.also a memory device is been also added.for 576 charecter in spartan 3 device tested.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1793
    • 提供者:arka
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 23 »
搜珍网 www.dssz.com