CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - led pwm

搜索资源列表

  1. PWM

    0下载:
  2. freescale qg8 PWM控制,本程序是PWM模块的例程,所用资源为单片机内部TPM模块,所接器件为LED,LED的闪烁频率逐渐减小,直到熄灭,然后再次点亮。-freescale qg8 PWM control PWM module of this procedure is routine, the use of resources within the TPM module for the MCU, the access device is LED, LED flicker frequ
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-04
    • 文件大小:78525
    • 提供者:sunx
  1. PWM

    0下载:
  2. 关于各种电机的PWM程序: 工程1,采用C语言完成的主要功能有 1:用定时器1中断让LED闪烁; 2:用定时器2的比较单元产生一路PWM; 3:用EVB模块产生6路PWM; 另外四个汇编程序依次分别是: SVPWM(软件法)程序, 交流异步矢量程序, 直流双极性双闭环可逆控制程序, 和采样SPWM程序。 -PWM motor on a variety of programs: Project 1, using C language to complet
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:81936
    • 提供者:bullwell
  1. Probe01

    0下载:
  2. SuperProbe - 17 Function! The Superprobe project was designed to see how much could be done with a PIC chip and just a few parts. The image at the right shows the capacitance measuring mode. This device is designed around a PIC16F870, a 4 d
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-13
    • 文件大小:3088248
    • 提供者:Vlad
  1. pwm

    0下载:
  2. 功能:按按键K1,LED灯亮度减小,按按键K2,LED灯亮度增加-Function: Press key K1, LED lamp brightness decreases, according to key K2, LED lamp brightness increase
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:10560
    • 提供者:de
  1. LED

    0下载:
  2. STM8SLED例程,使用HSI为系统时钟源,并配置恰当的分频比; 􀀹 TIM2 CC2 输出PWM信号控制LD2亮度; 􀀹 TIM3 CC1 输出PWM信号控制LD3闪烁频率; 􀀹 TLI(PD7)中断用来打开/关闭LD4.-STM8SLED routine use of HSI for the system clock source, and configure the appropriate divide rat
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:45717
    • 提供者:yan
  1. MBI5030

    0下载:
  2. 16-Channel Constant Current LED Driver With 16-bit PWM Control
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:769748
    • 提供者:morelloteq
  1. PWM

    0下载:
  2. 利用pwm(脉冲调制)的方法调节灯光的强弱,-LED
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:882
    • 提供者:jiuzhi
  1. pwm

    0下载:
  2. VHDL编写的PWM波控制LED亮度的程序。-Written in VHDL wave PWM LED brightness control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:550
    • 提供者:飞星
  1. 1

    0下载:
  2. avr TC0产生PWM波控制led灯,根据原代码可以修改亮度步长-generate PWM wave control avr TC0 led lights
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:15437
    • 提供者:Felix
  1. PWM

    0下载:
  2. PWM亮暗调节 按键可以变亮或变暗LED 已试过能用-Bright and dark keys to adjust the PWM brighten or darken the LED can be used have been tried
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:555
    • 提供者:林先生
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. PWM

    0下载:
  2. PWM调光程序,可以实现led灯渐变的效果-PWM dimming, led lights to achieve the effect of gradient
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:11165
    • 提供者:ws
  1. 12PWM

    0下载:
  2. STC12系列单片机的PWM测试程序,利用按键控制LED的明亮变化-STC12 MCU PWM test program, the use of keys to control LED brightness changes
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:14848
    • 提供者:tennece
  1. PWM-LED

    0下载:
  2. 嘉乐51学习板PWM控制LED实验带说明用于嘉乐51学习板-Gallop PWM Control LED 51 learning board with instructions for the experimental study board Gyalo 51
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:2945709
    • 提供者:lanmse
  1. Multi-function-LED-lamp-PWM-design

    0下载:
  2. 基于PWM 调光的多功能LED 台灯设计 LED(发光二极管)作为一种新型光源,具有高效节能、绿色环保、使用寿命长等其 他光源无法比拟的优点,代表着未来照明技术的发展方向。本文设计了一种以AT89S51 单片机为核心的家用多功能白光LED 台灯系统,采用PT4115 大功率LED 恒流驱动方案,可 实现对LED 台灯的PWM 多级调光控制;同时,系统兼有时间日历、温度检测、液晶显示、 声光闹钟等多项功能。本文详细给出系统的硬件与软件设计过程。实验证明,该多功能LED台灯稳定高效,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:416435
    • 提供者:高峰
  1. PWM

    0下载:
  2. pwm调光程序,用于led发光二极管的控制。-pwm dimming program for the control of light-emitting diodes led.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:16902
    • 提供者:binglong
  1. PWM

    0下载:
  2. To adjust the LED (light-emitting diode) or glow lamp brightness with the help of Pulse Width Modulation (PWM).
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:41711
    • 提供者:dolly chan
  1. PWM

    0下载:
  2. 可上下调整的pwm,可用于led或者电机控制-Can be adjusted up and down the pwm, led or motor control can be used
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7301
    • 提供者:hej
  1. pwm-liushuideng

    0下载:
  2. P0口接8个LED灯,P1^0接个独立扫键。32级PWM,晶振12M,按键切换样式。仅程序-P0 port connected 8 LED lights, P1 ^ 0 then a separate scan button. 32 PWM, crystal 12M, buttons to switch styles.
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:1395
    • 提供者:hiphen
  1. pwm

    0下载:
  2. vhdl的pwm代码可以控制LED的亮度255级调节 -The pwm vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:238751
    • 提供者:邓忠飞
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 42 »
搜珍网 www.dssz.com