CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - max plusii

搜索资源列表

  1. edashiyanbaogao_fzu

    0下载:
  2. 福州大学07级eda实验报告。。。一共八九份 包含实验指导书 实验一 利用原理图输入法设计4位全加器 一、实验目的: 掌握利用原理图输入法设计简单组合电路的方法,掌握MAX+plusII的层次化设计方法。通过一个4位全加器的设计,熟悉用EDA软件进行电路设计的详细流程。 -07 eda, Fuzhou University lab reports. . . A total of 89 experimental instructions were included experi
  3. 所属分类:Applications

    • 发布日期:2017-04-05
    • 文件大小:866597
    • 提供者:林明明
  1. lift

    1下载:
  2. 本课题要求设计一个电梯控制系统,传统的电梯控制系统仅仅要求实现对一栋电梯的控制,而本题要求设计一个实现两栋电梯联动的基于VHDL的电梯控制系统。具体要求如下: 需要大楼为4层,2部电梯,每部电梯内部都有如下按键:1-4楼的按键选择,开门键,关门键,报警键。每部电梯的每层楼外面都有上楼键和下楼键(1楼只有上楼键,六楼只有下楼键)。电梯的设计参照日常生活中电梯实际运行规律设计。 两部电梯之间互相联动,即同时按下任何一部电梯的外部向上或向下键之后,两部电梯同时接受此指令,然后由系统判断,与该请求所
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1385144
    • 提供者:chdj
  1. fpga

    0下载:
  2. 在MAX+plusII软件平台上,熟练运用VHDL语言,完成数字时钟设计的软件编程、编译、综合、仿真,使用EDA实验箱,实现数字时钟的硬件功能。-In the MAX+ plusII software platform, skilled use of VHDL, digital clock to complete the design of software programming, compilation, synthesis, simulation, the use of EDA exper
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:108279
    • 提供者:wangguochuan
  1. Max_Plus_II-_tutorial

    0下载:
  2. Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。Maxplus2被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:88585
    • 提供者:myf
  1. MaxPPlusPII]

    0下载:
  2. 帮助大家学习Max PlusII,提高大家的编程能力,讲的还不错-Help them to learn Max PlusII, improve our programming skills, talk about bad
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:1299911
    • 提供者:xie
  1. alu

    0下载:
  2. 一个简单的四位alu,用max+plusII运行-a simple 4bit alu by vhdl.You can use max+plusII to text it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:577
    • 提供者:刘一正
  1. diggital-logic-course-design

    0下载:
  2. 用MAX+PLUSII进行数字逻辑课程设计的新思路,使数字逻辑课程设计变得更加简洁高效-With MAX+ PLUSII curriculum design of digital logic of new ideas, so that digital logic design becomes more streamlined and efficient program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:349704
    • 提供者:lili
  1. matrix-converter

    0下载:
  2. Implementaion of Current Commutation Strategies of Matrix Converters in FPGA and Simulations Using Max+Plus-Implementaion of Current Commutation Strategies of Matrix Converters in FPGA and Simulations Using Max+PlusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:412089
    • 提供者:belghith
  1. EDA

    0下载:
  2. 掌握在MAX+plusII环境下用文本编辑方式进行基本逻辑电路设计的方法。(2) 掌握在MAX+plusII环境下用对基本逻辑电路设计结果进行波形仿真验证的方法。(3) 掌握在MAX+plusII环境下用对仿真波形进行分析的方法 -(1)Master in the MAX+ plusII environment with text editing means basic logic circuit design method. (2) master in the MAX+ plusII e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:186710
    • 提供者:媛媛
  1. edasheji

    0下载:
  2. 这是我写的关于用max+plusII实现的多功能函数信号发生器,包括三角波、方波、锯齿波-I wrote about the max+ plusII multi-purpose function signal generator, including triangular wave, square wave, sawtooth
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:337008
    • 提供者:yingyuexichen
  1. Electronic-Lock-(VHDL)

    1下载:
  2. 开锁代码为2位十进制并行码。 当输入的密码与锁内的密码一致时,绿灯亮,开锁;当输入的密码与所内的密码不一致时,红灯亮,不能开锁。 密码可由用户自行设置。 密码可由七段数码管显示出来。 -The design is based on the VHDL language, using the MAX+ plusII parallel electron two locks design, and design process described in detail. VHDL lan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-07
    • 文件大小:46080
    • 提供者:天街小雨
  1. jianyijiafaqi

    0下载:
  2. 采用MAX+PlusII工具编辑设计的Verilog程序设计的简易加法器。可实现10以内的加法计算-Using MAX+PlusII tools to edit the design of Verilog design of a simple adder. Can be realized within 10 addition calculation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1090397
    • 提供者:阿凡提
  1. taximeter

    0下载:
  2. 利用 VHDL语言、PLD设计出租车计费系统,采用VHDL编写租车计费器系统程序,采用MAX+PLUSII软件作为开发平台,进行了程序仿真,验证设计实现了出租车 计价器的相关功能。 .-Using VHDL, PLD design taxi billing system, using VHDL prepared Car billing system procedures, using MAX+PLUSII software as a development platform for the si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:553998
    • 提供者:zhonga
« 1 2 3»
搜珍网 www.dssz.com