CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - opening closing

搜索资源列表

  1. ui

    0下载:
  2. 启动和关闭服务器的界面程序,用于开启和关闭线程的操作-Start and shut down the server interface program for opening and closing operation of the thread
  3. 所属分类:Dialog_Window

    • 发布日期:2017-04-03
    • 文件大小:2549
    • 提供者:庞子涵
  1. cPPCodeDoc

    0下载:
  2. 关于C++字体、运算器、鼠标移动、颜色、文件打开关闭、显示等用法。-About C++ fonts, operations, mouse movement, color, file opening and closing, display usage.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-26
    • 文件大小:15218
    • 提供者:your name
  1. switch8

    0下载:
  2. 实现对8路电脑控制开关的控制,将开关通过串口连接电脑上,程序通过串口通信方式 ,控制每组开关的打开与闭合。方便控制其它设备的电源。-Computer control to achieve the 8-way control switch, the switch through the serial port on the computer, the program through the serial communication to control the opening and closin
  3. 所属分类:Com Port

    • 发布日期:2017-04-07
    • 文件大小:5570
    • 提供者:老赵
  1. Processing

    0下载:
  2. 运用OPENCV,实现图像膨胀、腐蚀、开运算、闭运算。-Using OPENCV to realize image expansion, corrosion, opening operation and closing operation.
  3. 所属分类:OpenCV

    • 发布日期:2017-05-12
    • 文件大小:2868719
    • 提供者:Kelly Pang
  1. cmy2

    0下载:
  2. 本次三维图形设计,我所设计的主题为——荷塘月色。描绘的是一幅在月光下荷塘中荷花开闭的场景,同时还配有雾气和背景音乐,使场景更加梦幻和充满诗情画意。 完成对场景及三维图形的设计,我采用了如下技术。首先,使用一些常见的基本图元去构成场景中的图形元素,指定各顶点的坐标,并用显示列表进行排列;其次,添加时间轴控制函数,对荷花的开闭、月亮的移动、雾气的时有时无进行控制;再次,使用键盘交互进行场景漫游;第四,添加了雾化效果,增加了场景的真实性和梦幻效果;第五,添加了背景音乐,使场景更加生动,有助于表现主
  3. 所属分类:OpenGL program

    • 发布日期:2017-05-18
    • 文件大小:5058179
    • 提供者:杨洋
  1. matlabtuxiangchuli

    0下载:
  2. 要求利用MATLAB或VC设计图形用户界面,并实现以下图像处理功能: (1)实现图像文件的打开、保存、另存为、打印功能; (2)选择某种结构元素,对打开的图像进行膨胀、腐蚀操作并分别显示(可以利用STREL函数); (3)实现开运算及闭运算并分别显示; (4)实现击中或击不中变换; (5)实现重构。 -Require the use of MATLAB or VC design of graphical user interface, and to achieve the
  3. 所属分类:Special Effects

    • 发布日期:2017-05-21
    • 文件大小:6601847
    • 提供者:尹晓玲
  1. exp1-morphology

    0下载:
  2. 数字图像处理,opencv形态学运算,包括腐蚀膨胀,开闭运算。-Digital image processing the opencv morphological operations, including corrosion expansion, opening and closing operation.
  3. 所属分类:Special Effects

    • 发布日期:2017-05-08
    • 文件大小:1827571
    • 提供者:王奇特
  1. jutsinzj

    5下载:
  2. 自适应型广义形态滤波滤波器的源代码(内含腐蚀、膨胀、开闭运算、snr等代码),仅供参考-The source code of the adaptive generalized morphological filter filter (containing corrosion, expansion, opening and closing op, snr, and other code), for reference only
  3. 所属分类:matlab例程

    • 发布日期:2013-10-07
    • 文件大小:2462
    • 提供者:周军
  1. lock

    0下载:
  2. 代码是一个完整的电子密码锁程序,通过输入密码控制锁的开合(用继电器模拟),采用数码管显示,程序具有节能设置,--Code is a complete electronic lock program, lock by entering the password control the opening and closing (with relay analog), using liquid crystal display 1602, the program has the energy-savin
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:87440
    • 提供者:邓可豪
  1. matlab

    2下载:
  2. 这是我编写的数学形态学处理灰度图像和二值图像的源代码,没有使用matlab自带的函数。可以实现膨胀、腐蚀以及开闭运算。-This is written in mathematical morphology of grayscale images and the source code of the binary image, do not use Matlab comes with function. Can achieve expansion, corrosion, and the openi
  3. 所属分类:Special Effects

    • 发布日期:2016-04-27
    • 文件大小:5120
    • 提供者:常合友
  1. 13

    0下载:
  2. 串口控制云台摄像头通过计算机发送控制指令到解码器再由解码器控制相应继电器到各个电源的开合。-Serial control PTZ camera control through the computer to send commands to the decoder by the decoder to control the corresponding relay to the opening and closing of each power supply.
  3. 所属分类:Com Port

    • 发布日期:2017-05-16
    • 文件大小:4463939
    • 提供者:郑晓彬
  1. exp3

    0下载:
  2. 如果观察比较纯净的语音,可以看到韵母部分的波形呈现出一定的周期特性,这个周期被称为基音周期(pitch/f0)。通常部分声母和静音部分观察不到周期性,也就是没有基音周期。基音周期反映了发音时声门开合的频率特点。 对语音进行短时自相关处理,估计基音周期,考察各种平滑方法对自相关结果的影响。 -If you look at a more pure voice, vowel part of the waveform can be seen showing the cyclical nature
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-03-29
    • 文件大小:314224
    • 提供者:zzc
  1. 2SK3462

    0下载:
  2. 芯片资料。 一款关于场效应管的资料。用于大功率电路的开通与截止。- Chip information. A information on the field effect transistor. Opening and closing for high power circuits.
  3. 所属分类:Project Design

    • 发布日期:2017-04-24
    • 文件大小:166725
    • 提供者:ww
  1. Elevator-controller

    0下载:
  2. 1.该设计是一个6层自动升降电梯的控制电路; 2.每层电梯入口处设有上下请求开关,电梯内设有乘客到达楼层的请求开关; 3.设有电梯所处楼层指示和电梯运行模式指示; 4.电梯的上升和下降时间均为2秒; 5.电梯到达停站请求后,开门时间为4秒,关门时间为3秒; 6.能记忆电梯内外的所有请求信号,并按照电梯运行规则次序响应,响应动作完成后清除请求信号; 7.能检测是否超载,并设有报警信号; 8.方向优先规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求,有下而上逐
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:7852
    • 提供者:xuling
  1. Rcellsse

    0下载:
  2. 读入红细胞图片,通过中值滤波,开运算算,闭运算,和贴标签等方法获得细胞个数 -Read into the red blood cell picture, opening operation count median filtering, and closing operation, and the labeling methods such as cell number
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:3916
    • 提供者:nicollejia
  1. MorphPicuure

    0下载:
  2. 用于数学形态学运算的,如开、闭运算等。用VC6.0实现的MFC程序。-For the operations of mathematical morphology, such as opening and closing operation. Achieved with VC6.0 MFC program.
  3. 所属分类:Console

    • 发布日期:2017-04-23
    • 文件大小:85397
    • 提供者:崔汉锋
  1. opengl_qt_linghting_blending

    1下载:
  2. 本次实验主要是学习下opengl中光照的使用方法,opengl中的光照分为环境光,漫射光,镜面光,反射光4种,这里主要是学习环境光和漫射光的设置,同时对比下opengl中支持的几种纹理滤波方式的效果,另外也可以加入色彩融合效果。这次实验是将一个木箱纹理贴到一个立方体上,然后我们在空间屏幕正外方设置了一个光源,可以用键盘的L键来控制该光源的开启和关闭;用F键来旋转程序中用到的3种滤波方式,按下F键后,依次切换该3种方式;用PageUp键来使物体离观察者越来越远,相反,用PageDown来使物体离我
  3. 所属分类:OpenGL program

    • 发布日期:2017-11-07
    • 文件大小:2189338
    • 提供者:wuwei
  1. animation

    0下载:
  2. 用c语言实现艺术清屏。问题的提出:我们在编制程序时,经常要用到清屏处理,如dos下的cls,Turbo C下的clrscr()等都具有清屏功能,但这些均为一般意义的清屏, 并未显示其清屏规律.而有时为了达到清屏的艺术美观,往往对清屏有一些具体要求,如:开幕清屏 闭幕清屏 上清屏 下清屏 中清屏.为此,这里 用C语言编制了几个子函数,用于程序中时,既可达到清屏的目的,有能增加屏幕的艺术美观.-C language arts clear screen. Of the problem: in
  3. 所属分类:2D Graphic

    • 发布日期:2017-11-18
    • 文件大小:1024
    • 提供者:qigb
  1. MONITORING-AND-CONTROL--ON-LABVIEW

    0下载:
  2. 摘 要 针对实现液罐的监测与控制系统,采用下位机:以C52单片机为核心,控制HC-SR04超声波测距模块和DS18B20分别对液面高度和液罐中温度进行测量。上位机:在PC机中通过LabVIEW进行编程,其主要功能为:数字时钟、液罐液位的模拟、波形图、液位超限的报警功能、液位控制的自动和手动模式、以及对数据进行存储。单片机对液位和温度的数据进行采集后,LabVIEW可选择性的使其在面板中进行显示,同时还可发送指令给单片机控制进水阀和出水阀的开闭,也可通过自动模式对液位进行控制。相比于传统控制系统,
  3. 所属分类:LabView

    • 发布日期:2016-12-27
    • 文件大小:110592
    • 提供者:guofengyu
  1. Vdiantivhdlh

    0下载:
  2. 电梯的vhdl设计,6层楼含开开关门,警报,内部请求,外部请求 -Vhdl elevator design, six floors including the opening and closing the door, the alarm, the internal request, external request
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-26
    • 文件大小:162607
    • 提供者:干预
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 19 »
搜珍网 www.dssz.com