CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - quartus II

搜索资源列表

  1. Quartus

    0下载:
  2. 上传一份cpld 开发工具,Quartus II 中文教程.pdf,供学习参考。
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:893121
    • 提供者:chaidong
  1. cpld.压力传感器数据采集原码

    1下载:
  2. 基于ATEREAL EPM1270T144C5N CPLD 压力传感器数据采集原码 开发软件 Quartus II ,ATEREAL EPM1270T144C5N CPLD-based pressure sensor data acquisition source Quartus II development software
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:307969
    • 提供者:胡兵
  1. quartus2.rar

    0下载:
  2. Quartus II 7.2的使用教程,非常详细,有助于大家尽快掌握该软件的使用方法。,The use of Quartus II 7.2 tutorial, very detailed, help you quickly master the use of the software.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:3098658
    • 提供者:龙瑞鹤
  1. Quartus-II-Design-modelsim

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1950971
    • 提供者:adin
  1. NIOS_TFT

    0下载:
  2. 用Quartus II 8.0(32bit),NIOS编译环境下,用TFT做的一个数码相框,附加原理图和veri-log程序代码-Using Quartus II 8.0 (32bit), NIOS compiler environment, TFT do with a digital photo frame, attached schematic and program code veri-log
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14393989
    • 提供者:涂龙
  1. Crack_QII81_FULL_License

    0下载:
  2. quartus 8.1 ipcore lic,包含ddr、ddr2、fir、nco-quartus 8.1 ipcore lic, with ddr, ddr2, fir, nco
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:29537
    • 提供者:wcm
  1. fpga-pwm

    0下载:
  2. 用verilog 语言写的FPGA子程序,环境是quartus II 7.2 已经在EP1C6Q240上测试过,源码包含仿真文件和仿真结果,本程序可以直接嵌入做子程序使用。-FPGA with the verilog language written subroutines, the environment is quartus II 7.2 has been tested on EP1C6Q240, source code contains the simulation files and s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1163190
    • 提供者:黄家武
  1. fifo-verilog

    0下载:
  2. 自己设计的一种FIFO寄存器,用verilog 编写,QUARTUS II下验证-Own design of a FIFO register, with verilog preparation, QUARTUS II certification under
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:5217
    • 提供者:wait
  1. UART

    0下载:
  2. 语言:verilog语言 功能:通过串口控制模块,实现FPGA与串口 通信。 仿真环境:modelsim 综合环境:quartus -Language: verilog language function: through the serial port control module, FPGA and serial communication. Simulation Environment: modelsim integrated environment: quartu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:64207
    • 提供者:huangjiaju
  1. TLC5510_IIPRAM1

    0下载:
  2. FPGA控制双口RAM、实现TLC5510采样控制双口RAM读写!QUARTUS II8.0平台仿真验证通过,并在硬件上运行通过测试!-FPGA control of dual-port RAM, the realization of sampled-data control TLC5510 dual-port RAM read and write! QUARTUS II8.0 platform through simulation and hardware to run through the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3439107
    • 提供者:wangzhaohui
  1. PS_2

    0下载:
  2. 此模块用于"PS/2接口的鼠标或键盘"与"具有外部读写的8位并口单片机"双向通信模块. Verilog HDL语言编写,在Quartus II 8.1 (32-Bit)软件中编译,并下载至EPM7128SLC84-10芯片中通过. 文件中有详细的注解. 此模块具有对于PS/2时钟和数据线的滤波功能,这样减少外部干扰,保证通信的可靠性! -This module for the "PS/2 mouse or keyboard interface" and "read
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:4932
    • 提供者:yuantielei
  1. paobiao

    0下载:
  2. 给出了数字跑表的源代码,设计了分频模块,实现了真实的时间计数,通过这个工程的训练,能更好的了解Quartus II数字电路开发的过程。-Digital stopwatch given the source code, design the sub-frequency module, the realization of the true count of time, through this project the training, to better understand the Quart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:237526
    • 提供者:张应辉
  1. Quartus-II-Handbook

    0下载:
  2. Quartus II的handbook中英文对照,对掌握quartus有很大帮助。-Quartus II faced, in both Chinese and English are of great help to grasp the Quartus.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9450752
    • 提供者:姚盛健
  1. CNTlum

    0下载:
  2. 使用Windows7 系统,quartus ii 9.1 软件,Verilog 语言 0到9的计数,并且亮度逐渐增大(count from 0 to 9,and the lum become more and more high)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1354752
    • 提供者:Eris
  1. 基于Quartus-II-的FPGACPLD开发

    0下载:
  2. 基于Quartus-II-的FPGACPLD开发(Development of FPGACPLD based on Quartus-II)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-31
    • 文件大小:6297600
    • 提供者:VVVX
  1. adder

    0下载:
  2. 此电路是一个基于Quartus II 的加法器,由两个半加器组成。(The circuit is an adder based on Quartus II, consisting of two adder.)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2018-04-18
    • 文件大小:70656
    • 提供者:小竹塘
  1. 数电综合实验工程文件

    0下载:
  2. 像素鸟游戏代码,平台为quartus II,实现功能为简易像素鸟游戏。(Pixel bird game code)
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:1186816
    • 提供者:lte
  1. HEX2MIF

    0下载:
  2. QUARTUS II SRAM/ROM初始化需要的HEX文件与Keil产生的HEX格式不同;该Modelsim程序,将Keil产生的Hex转换成,Quartus可以是识别的MIF格式;(The QUARTUS II SRAM/ROM initialization needs HEX files which are different from those generated by Keil. The Modelsim program converts Hex generated by Keil
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:1024
    • 提供者:MCUMaster
  1. quanjiaqi

    0下载:
  2. 程序的功能是在quartus II环境下实现全加器的功能。(The function of the program is to implement the full adder function in Quartus II environment.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-06
    • 文件大小:335872
    • 提供者:
  1. Password lock

    0下载:
  2. 一个 Quartus II 工程,芯片为EP3C55F484C8,是一个简单的保险箱密码锁。包含分频器、键盘去抖、8选1选择器、扬声器模块、动态扫描模块等多个模块。 主要功能: 1. 保险箱上设有密码输入和钥匙锁双重保险。 2. 当密码输入正确后,左边的指示灯亮,此时插入钥匙即可打开保险箱;当密码输入错误后,右边的指示灯亮,发出报警信号,此时需要重新输入密码。 3. 保险箱的密码可根据需要随时更换。(A Quartus II project, the chip is EP3C55F484
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-06
    • 文件大小:4777984
    • 提供者:yves05
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com