CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - timer vhdl

搜索资源列表

  1. EDA-24秒倒计时程序

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。(The stopwatch timer is used in sports competitions and in various fields where requirements are more accurate. This timer is written in a VHDL langu
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:3072
    • 提供者:白米粥
  1. 至简设计法--篮球倒计时

    0下载:
  2. 24秒计时器的FPGA实现,分三个模块并内分别附上VHDL程序(24-second timer FPGA implementation)
  3. 所属分类:DSP编程

    • 发布日期:2018-04-22
    • 文件大小:56320
    • 提供者:DT25
« 1 2 3 4 5 6 7»
搜珍网 www.dssz.com