CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - understanding digital

搜索资源列表

  1. LEDDisp

    0下载:
  2. 实验名称: LED驱动程序实验 实验目的: 初步学习对单片机端口的操作,加深对AVR单片机端口寄存器的理解 实验现象: LED数码管从左向右依次亮,然后依次灭 环 境: ICCAVR6.31A-Experiment name: LED driver for the experiment was the experiment: a preliminary study of single chip port operation, better understanding of the A
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:743
    • 提供者:liutao
  1. 24

    0下载:
  2. 简单的数字时钟EDA设计,并通过电路的仿真和硬件验证,进一步了解计数器的特征和功能。-Simple digital clock EDA design, and through circuit simulation and hardware verification, and further understanding of the characteristics and functions of counters.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-14
    • 文件大小:3451891
    • 提供者:xxy
  1. ee

    0下载:
  2. 数字图像的压缩和加造,以及降噪的功能实现。适合学习使用便于加深理解。-Digital image compression and processing building, and noise reduction functions. Suitable for learning to use to facilitate a deeper understanding.
  3. 所属分类:Special Effects

    • 发布日期:2017-04-07
    • 文件大小:921
    • 提供者:zhanglei
  1. shuzidianya

    0下载:
  2. 通过51单片机实现数字电压表的一些最基本的功能,并使自己对51单片机更加深入了解-Through 51 single-chip digital voltage meter to achieve some of the most basic functions, and their more in-depth understanding of single-chip 51
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:9487
    • 提供者:刘海灯
  1. DspRealTimeDigitalSignalProcessing(UsandoTms320c55

    0下载:
  2. 关于在TMS320c55x一些信号处理算法以及一些CCS的一些实用操作,例子和步骤都十分清楚,信号处理算法容易理解。-This book offers readers a hands-on approach to understanding real-time DSP principles,system design and implementation considerations,real-worle applications,as well asmany DSP experiments u
  3. 所属分类:DSP program

    • 发布日期:2017-05-21
    • 文件大小:6238075
    • 提供者:
  1. Dip

    0下载:
  2. 简单的C++数字图像处理程序内含各种变换,可在此基础上进行加深和复杂编程,适合数字图像入门者-Simple C++ digital image processing program includes a variety of transformation can be carried out on the basis of understanding and complexity of programming for Digital Image Starter
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:71493
    • 提供者:倪秀平
  1. matlab

    0下载:
  2. 自己编写的信号产生和处理软件,以matlab7为平台.可以实现确定信号和非确定信号的产生,各种滤波器的设计,对信号的频谱分析,滤波后信号的频谱分析等功能.有利于信号与系统.数字信号处理相关课程的学习与理解-The preparation of the signals themselves and deal with software as a platform to matlab7. Can determine the signal and non-identifying signal the
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:108310
    • 提供者:hihi
  1. zhong

    2下载:
  2. 运用Matlab语言编程,进行信号分析的能力。音频信号是一种连续变化的模拟信号,计算机只能处理和记录二进制的数字信号,由自然音源而得的音频信号必须经过采样,量化和编码,变成二进制数据后才能送到计算机进行再编辑和存贮,通过本实验中了解模拟信号采样和重构的完整过程,加深对采样定理的理解。-Matlab programming language to use for signal analysis. Audio signal is a continuous change of the analog s
  3. 所属分类:matlab例程

    • 发布日期:2017-06-04
    • 文件大小:1405
    • 提供者:tong
  1. ImagShow

    0下载:
  2. 数字图像处理搭建的平台,适合初学者使用,了解数字图像处理平台内部过程。最初级的图像获取,读取,保存。-Digital image processing to build the platform, suitable for beginners to use, digital image processing platform for understanding the internal processes.
  3. 所属分类:Graph program

    • 发布日期:2017-03-30
    • 文件大小:34450
    • 提供者:zhouwei
  1. mapread

    0下载:
  2. 数字制图实习,数据理解与读取 图形功能及数据处理 数据的简单显示: 内容:设计合理的可视化程序方案,将地图数据库中的地图以简单的带颜色的线或面的形式显示在屏幕上,实现地图坐标从地图空间到屏幕空间的转化; -Digital mapping, training, data understanding and reading graphics and data processing functions data simply show: Content: Design and reason
  3. 所属分类:Other systems

    • 发布日期:2017-05-18
    • 文件大小:4930374
    • 提供者:huzi
  1. PowerSpectrumEstimatebasedonFFT

    0下载:
  2. 通过FFT算法在DSP中的实现,深刻理解数字频率与模拟频率的对应关系,结合仿真与DSP实现分析FFT的频率分辨率。-Through the FFT algorithm in the DSP in the realization of a deep understanding of digital and analog frequency corresponds to the relationship between frequency, combined with simulation and
  3. 所属分类:software engineering

    • 发布日期:2017-04-17
    • 文件大小:244425
    • 提供者:风萧萧
  1. Lab0502-IIR

    0下载:
  2. 5509A开发板无限冲激响应滤波器算法实验,帮助掌握IIR的原理和方法,熟悉特性,了解IIR数字滤波器的设计方法-5509A development board infinite impulse response filter algorithm experiments, help to master the principles and methods of IIR, familiar with the characteristics, understanding the design of
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:22956
    • 提供者:sandor
  1. fir

    0下载:
  2. 摘  要:阐述了数字滤波器的设计方法 ,分析了运用 MATLAB软件进行 IIR和 FIR数字滤波器设计的过程。 研究 与实践表明:教学中 ,运用 MATLAB软件进行数字滤波器设计及实现 ,可增强学生的感性认识 ,加深对数字滤波器 设计基本原理的理解 ,改善教学效果。-Abstract: This paper describes the design of digital filter methods, analysis of the use of MATLAB software II
  3. 所属分类:matlab

    • 发布日期:2017-05-12
    • 文件大小:2652446
    • 提供者:xxxmmmccc
  1. 61EDA_D1116

    0下载:
  2. A PLD Based Delta-Sigma DAC Delta-Sigma modulation is the simple, yet powerful, technique responsible for the extraordinary performance and low cost of today s audio CD players. The simplest Delta-Sigma DAC consists of a Delta-Sigma modulat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:59693
    • 提供者:郭晨
  1. vvvvvvvvvv

    0下载:
  2. 设计制作和调试一个由工业控制机控制的温度测控系统。通过这个过程学习温度的采样方法,A/D变换方法以及数字滤波的方法。通过时间过程掌握温度的几种控制方式,了解利用计算机进行自动控制的系统结构。-Design and debug a control industrial control system of temperature monitoring and control. Through this process the temperature of the sampling method of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:179295
    • 提供者:ad0411
  1. fm_fsk_msk_good

    0下载:
  2. Understanding analog and digital FM Modulation
  3. 所属分类:matlab

    • 发布日期:2017-05-03
    • 文件大小:566016
    • 提供者:Ashwini
  1. shuma

    0下载:
  2. 改程序可简单的产生从0到f的递增数码管显示,着为初学者提供比较好的认识。-Reform program can generate a simple increments from 0 to f, digital tube display, forward to providing a better understanding of beginners.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:623
    • 提供者:刺客
  1. sp

    0下载:
  2. 这是VB编程RS232串口(COM口)控制的D/A(数模转换)转换板的上位机演示程序。通过电脑串口(或其他具有串口的单片机、PLC、DSP)发个简单的可视ASCII指令,便可以方便地控制8个数模转换通道输出设定的电压值。比如,电脑串口输出字符串"C2V2660"到本控制板,则控制板将控制2号通道输出2.66V电压;电脑串口输出字符串"C6V3060"到本控制板,则控制板将控制6号通道输出3.06V电压.指令非常简单,完全不需了解复杂的硬件及时序控制。-This is a VB programmi
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:707
    • 提供者:李兰
  1. clk_div16

    0下载:
  2. 一个用VHDL语言编写的1/16分频器,后续还有计数器、数据选择器、七段数码显示程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:226059
    • 提供者:QQ
  1. MUX2

    0下载:
  2. Written in VHDL language using a 1 / 16 divider, follow-up there is the counter, data selector, seven-segment digital display procedures, the software platform is Quartus II 7.2, the final adoption of these small modules can be combined to produce a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:230763
    • 提供者:QQ
« 1 2 3 4 56 7 8 9 10 11 »
搜珍网 www.dssz.com