CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - usb vhdl

搜索资源列表

  1. usb_funct

    0下载:
  2. USB接口开发的源代码,包括软件和硬件,学习USB非常好用的资料-USB interface, the development of source code, including software and hardware, learning is very easy to use USB data
  3. 所属分类:USB develop

    • 发布日期:2017-04-02
    • 文件大小:196358
    • 提供者:hao3361
  1. xps_usb2_device

    0下载:
  2. it said to usb module on Xilinx board
  3. 所属分类:USB develop

    • 发布日期:2017-04-16
    • 文件大小:241223
    • 提供者:nam
  1. 6713_FPGA

    2下载:
  2. DSP+FPGA+USB2.0板子电路图 DSP是6713;FPGA是XilinxXC2S200;USB芯片是CY68013A-128AXC-DSP+ FPGA+ USB2.0 circuit board DSP is 6713 FPGA is XilinxXC2S200 USB chip is CY68013A-128AXC
  3. 所属分类:其他小程序

    • 发布日期:2013-11-06
    • 文件大小:41933
    • 提供者:Hosea
  1. WORKS

    0下载:
  2. Project of Adquisition Data, show in VGA and send to usb host
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9917586
    • 提供者:lagartojj
  1. FPGA_cy7c68013

    0下载:
  2. 本工程包括FPGA程序和CY7C68013固件程序。 上位机程序通过EZ-USB CONTROL PANNEL 来测试。-The works include the FPGA programs and CY7C68013 firmware. Host computer procedure EZ-USB CONTROL PANNEL to test.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5124927
    • 提供者:zhaox
  1. NIOS_USB_API_demo

    0下载:
  2. 在nios系统开发中的驱动usb接口芯片的代码,包括verilog代码,与相应的驱动代码-In the nios system development driver usb interface chip of the code, including the verilog code, and the corresponding driver code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:1697270
    • 提供者:chd
  1. usb_xilinx_vhdl

    0下载:
  2. usb开发代码 基于VHDL语言的FPGA-usb development of VHDL-based FPGA code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:56286
    • 提供者:zhangying
  1. usb_fpga_1_2_latest.tar

    0下载:
  2. USB2.0的FPGA内核,使其可以通过FPGA控制CY公司出品的CY7C68013USB微控制器,对USB设备进行读写操作。-• Xilinx Spartan-3 XC3S400 FPGA • High-Speed (480 MBit/s) USB interface via Mini-USB connector (B-type) • Cypress CY7C68013A/14A EZ-USB-Microcontroller • 60 G
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:328861
    • 提供者:赵恒
  1. verilog

    0下载:
  2. source code for USB 2.0 fonction core in verilog
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:57787
    • 提供者:chaitanya
  1. usb20_ipcore_usb_funct

    0下载:
  2. usb 2.0协议的ip核,可用,里面程序有文档说明-usb 2.0 protocol ip core, can be used, which procedures are documented
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:208744
    • 提供者:KKK
  1. USBFPGA

    0下载:
  2. USB AND FPGA REFERENTIAL BOARD
  3. 所属分类:VHDL-FPGA-Verilog

  1. usb_jtag

    0下载:
  2. usb通过Jtag口进行下载的源码程序,可以方便的通过它下载到EPROM里面-usb port for downloading through the Jtag source programs can be easily downloaded to the EPROM which through its
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:99101
    • 提供者:邓旺波
  1. usb

    0下载:
  2. USB完整代码 包括vhdl和verilog两种-usb ip core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:259621
    • 提供者:王强
  1. source_code

    0下载:
  2. verilog code fifo memory usb
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:4510
    • 提供者:mohsen
  1. VHDLcode

    0下载:
  2. 大量VHDL程序,由浅入深包括基础程序,各种接口实验,PC、USB SRAM等扩展板实验,及综合实验设计等。-A large number of VHDL program, Deep and includes basic procedures, various interface experiment, PC, USB' SRAM other expansion board experiments, and the comprehensive experimental design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8411591
    • 提供者:蒲俊杰
  1. USB

    0下载:
  2. FPGA数字电子系统设计与开发实例I2C UART VGA USB,可编程器件开发通用模块-FPGA digital electronic system design and development examples I2C UART VGA USB, programmable device of common modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:140227
    • 提供者:王世臣
  1. 68013

    0下载:
  2. 使用68013的测试程序,包含68013固件程序-use of cy7c68013,data transfer from usb to pc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4719068
    • 提供者:杨小兽
  1. USB

    0下载:
  2. USB CY7C68013 键盘发送 VHDL FPGA-USB CY7C68013 keypad VHDL FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1248010
    • 提供者:旧娃娃
  1. USB-1.1-IP-CORE-VHDL

    0下载:
  2. USB1.1标准接口的IP核的实现和其设计实现的源码范例VHDL-USB1.1 standard interface IP core implementations and examples of their design and implementation of the VHDL source code
  3. 所属分类:USB develop

    • 发布日期:2017-03-23
    • 文件大小:425965
    • 提供者:sxhfjgl010
  1. USB VHDL

    0下载:
  2. Full USB interface fo FPGA CPLD VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2015-05-15
    • 文件大小:6559
    • 提供者:lessberg
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com