CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vending machine

搜索资源列表

  1. vendingmachine_vhdl

    0下载:
  2. 用VHDL语言编写的 vendingmachine,十分实用-VHDL language with the vendingmachine, very useful
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-26
    • 文件大小:723511
    • 提供者:zheng cheng
  1. Vendingmachine

    0下载:
  2. 自动售货机控制系统设计思路及VHDL控制程序 -Vending machine control system design concept and control procedures VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:90806
    • 提供者:Section
  1. VendingMachine

    0下载:
  2. This is the Vending Machine Program developed in the Java Programming Language. And development tool is NetBeens. Thank you.
  3. 所属分类:Java Develop

    • 发布日期:2017-03-28
    • 文件大小:88127
    • 提供者:qhrgkrtod
  1. sodamachine

    0下载:
  2. 刚做完的一个实验,传上来分享一下 写的一般,请见谅 原题是麻省理工的一道EDA设计题:设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱 数。 (1)用到有限状态机;(2)用VHDL编程 -Just finished an experiment, transfer up to share writing in general, please forgive the original question is a Massachusetts Institute of T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:232443
    • 提供者:Han
  1. Codejia.com_586ab1766e2b4c702140df55f6e51c1f

    0下载:
  2. 自动售货机系统, 希望对大家有帮助-Vending machine system, we want to help
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:4540344
    • 提供者:李辉
  1. pl_autoVHDL

    0下载:
  2. 自动售货机VHDL。具有货物信息存储,进程控制,硬币处理,余额计算,显示等功能。-Vending machine VHDL. With the cargo information storage, process control, coin handling, balance calculation, display and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:143397
    • 提供者:liulu
  1. vending_machine

    0下载:
  2. 一个简单的自动售货机控制器,投足25美分便发糖果,并且自动找零-A simple vending machine controller, 25 cents will be made every move she makes candy and give change automatically
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:617
    • 提供者:treeyellow
  1. BillAcceptor

    0下载:
  2. rs232 communication with vending machine-rs232 communication with vending machine
  3. 所属分类:Com Port

    • 发布日期:2017-04-03
    • 文件大小:327065
    • 提供者:ivan
  1. ZiDongShouHuoJi(VHDL)

    0下载:
  2. 自动售货机VHDL程序与仿真 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 --最后修改日期:2010.3.23。 -vending machine(VHDL)program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:143392
    • 提供者:梁辰
  1. zidongshouhuojisheji

    1下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited system of state, state, the v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:34587
    • 提供者:高菲悦
  1. MFC

    0下载:
  2. 关于一个自动售货机的完整设计,利用vc平台。-A vending machine on the integrity of the design, use vc platform.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:489848
    • 提供者:戴玛
  1. autosellmachine

    0下载:
  2. 自动售货机程序,实现接受付款、返回当前现金等功能。-Vending machine program to realize, receiving payment, return to the current cash and other functions.
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-03-29
    • 文件大小:7378
    • 提供者:王子
  1. shouhuoji

    0下载:
  2. 自动售货机 基于java的自动售货机小程序-Vending machine vending machines based on java applets
  3. 所属分类:Java Develop

    • 发布日期:2017-03-28
    • 文件大小:1249
    • 提供者:Mmei
  1. shouhuoji

    0下载:
  2. 自动售货机-Vending machine
  3. 所属分类:Java Develop

    • 发布日期:2017-04-02
    • 文件大小:1329
    • 提供者:luyang
  1. AutoCVM

    0下载:
  2. this pdf describes automatic chocolate vending machine using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:220870
    • 提供者:chitturi
  1. DVDVendingMachine

    0下载:
  2. A java implementation of a DVD vending machine. Not a visual demo, but on where the use of queue s and there functions are demonstrated -A java implementation of a DVD vending machine. Not a visual demo, but on where the use of queue s and there fun
  3. 所属分类:Java Develop

    • 发布日期:2017-04-16
    • 文件大小:42293
    • 提供者:GHQST
  1. sodavandsmaskine

    0下载:
  2. vending machine its nice
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-11
    • 文件大小:839
    • 提供者:snuggi
  1. HCRB

    0下载:
  2. 设计要求:设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制、硬币处理、余额计算、显示灯功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择;售货时能根据用户输入的货币,判断钱币是否足够,钱币足够则根据顾客要求自动售货,钱币不足则给出提示并推出;能够自动计算出应找钱币余额、库存数量并显示。-Design requirements: design of a vending machine. The system can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:686
    • 提供者:DAVE
  1. saleMachine

    0下载:
  2. 完成自动售货机的各项功能,如:添加饮料,更改属性等并能够实现售货过程的各项检查-Completion of the vending machine features, such as: Add drinks, change attributes and to achieve sales of the inspection process
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:253331
    • 提供者:snaclb
  1. Auto_elect_ticket_machines

    0下载:
  2. 数字逻辑的自动电子售票机的quartus编程。-Digital logic quartus automatic electronic vending machine programming.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:15098
    • 提供者:刘权
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 19 »
搜珍网 www.dssz.com