CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vending machine

搜索资源列表

  1. ASM

    0下载:
  2. 是一个模拟自动售货机编程,使用的是access数据库,-Vending machine is a simulation programming, using a access database,
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-24
    • 文件大小:24122
    • 提供者:小姐
  1. vhdl4

    0下载:
  2. 自动售货机VHDL程序与仿真的vhdl的源代码-Vending machine VHDL procedures and simulation of vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:143387
    • 提供者:胡爱军
  1. VendingMachine

    0下载:
  2. java vending Machine source code-you can modify what you sell.
  3. 所属分类:Applet

    • 发布日期:2017-04-01
    • 文件大小:3383
    • 提供者:hong
  1. phonevendingmachineinformationoftheembeddedsystemd

    0下载:
  2. 手机自动售货机的嵌入式系统设计资料,有设计方案,背景说明,发展状况等-Cell phone vending machine information of the embedded system design, program design, background notes, such as the development of
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:423068
    • 提供者:yantu
  1. shop

    0下载:
  2. 自动售货机控制系统,具有对货物信息的存储,进程控制,硬币处理,余额计算和显示等功能。-Vending machine control system, with information on goods store, process control, coin processing, the balance of the calculation and display functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1738
    • 提供者:dong
  1. sale

    0下载:
  2. 关于自动售货机的报告,内有源程序和DC综合网表图-Report on the vending machine with a DC source and an integrated network chart
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:559999
    • 提供者:小阳
  1. lab04

    0下载:
  2. RTL in Verilog (Vending Machine)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:67816
    • 提供者:S.K.Han
  1. vending_machine

    0下载:
  2. VHDL code for vending machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:757
    • 提供者:muataz
  1. source_code

    0下载:
  2. 一个用c语言编写的自动售货机控制器源代码-A with c language source code for vending machine controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:134449
    • 提供者:lin kai
  1. Vending_machine

    0下载:
  2. 自动售货机VHDL程序与仿真,介绍了售货机的详细程序。-Vending machine VHDL simulation procedures and to introduce the detailed procedure of the machine.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:143873
    • 提供者:
  1. Automachine_project

    0下载:
  2. verilog 语言写的自动售货机程序,系IC课程设计代码,QUARTUS -verilog language written in a vending machine program, the Department of IC curriculum design code, QUARTUS II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:418912
    • 提供者:Zeng jinqiang
  1. autoseller

    0下载:
  2. 自动售货机的对象模型动态模型以及功能模型-Vending machine' s object model, dynamic model and functional model of
  3. 所属分类:software engineering

    • 发布日期:2017-03-24
    • 文件大小:87161
    • 提供者:韩笑
  1. Vending_machine

    0下载:
  2. A state machine implementation for a chocolate vending machine algorithm
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:718
    • 提供者:Sonali
  1. vendingMachine

    0下载:
  2. vending machine os in java
  3. 所属分类:Java Develop

    • 发布日期:2017-03-28
    • 文件大小:93803
    • 提供者:Shangru Li
  1. UM0886

    0下载:
  2. A parking ticket vending machine is a hand-held, battery operated device, inside of which a thermal printer is interfaced with the STM32 microcontroller from STMicroelectronics. This document focuses on the STEVAL-IPC002V1 demonstration board, wh
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-10
    • 文件大小:1185445
    • 提供者:Nguyen Van Huy
  1. Verilog-Vending-Machine-_-georgeBlog_-A-blab-on-t

    0下载:
  2. using vending machine we can collect ice cream along with a change or can be fullfilled by any other subsequent cooldrinks
  3. 所属分类:Editor

    • 发布日期:2017-03-23
    • 文件大小:270442
    • 提供者:rohit
  1. Vending-machines

    0下载:
  2. 自动售货机,自己写的一个小程序,不是很完善,但还是可以用的。-Vending machines, a small program, is not perfect, but still can be used.
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-20
    • 文件大小:6055257
    • 提供者:王一然
  1. test2

    0下载:
  2. 经典售货机测试提纲,里面包括代码提示,缺少测试代码-Outline of the classic vending machine test
  3. 所属分类:Java Develop

    • 发布日期:2017-04-17
    • 文件大小:52748
    • 提供者:eric
  1. VendingMachine

    0下载:
  2. Vending Machine example using an State Machine approach (function pointers). Load the project with Borland Turbo C 3
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-03-28
    • 文件大小:5554
    • 提供者:rolas
  1. Vending-machine

    0下载:
  2. 自动售货机:该项目采用c++ .net 编写,主窗体form1 表示售货机的整个外观,它包含3个货柜(用3个picturebox 演示),货柜下方显示商品的单价,当点击窗体的非控件点时,会自动显示各个商品的价格。-Vending Machines: The project uses c++. Net write, the main form form1 that the whole appearance of the vending machine, which includes three c
  3. 所属分类:.net

    • 发布日期:2017-05-13
    • 文件大小:3195724
    • 提供者:sy
« 1 23 4 5 6 7 8 9 10 ... 19 »
搜珍网 www.dssz.com