CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 正弦波

搜索资源列表

  1. zhengxiansanjiao

    0下载:
  2. 用Verilog实现正弦波和三角波,验证过的,功能正确-Sine wave and triangular wave with Verilog and verified correct function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:157639
    • 提供者:高红佳
  1. e

    0下载:
  2. 基于verilog语言编写的代码。功能:可实现三角波,正弦波,方波的测量。-Based on Verilog language code. : Triangle wave, sine wave, square wave measurement.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:273313
    • 提供者:王杉
  1. zhengxianbo

    0下载:
  2. 正弦波发生器,基于verilog语言编写的,不用用DAC模块,直接输出0和1电频,经过RC滤波后就可得到波形-Sine wave generator, based on verilog language, do not use the DAC module, direct output power frequency 0 and 1, RC-filtered waveform obtained after
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2087509
    • 提供者:王凌
  1. FIFO

    0下载:
  2. 将ROM的正弦波数据输入FIFO存储器,然后输出,有modelsim仿真波形-Verilog FIFO ROM mif sine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6605527
    • 提供者:xiadafang
  1. sinwave

    0下载:
  2. 使用verilog hdl语言编程正弦波信号,能仿真出结果-Can use verilog HDL language programming sine wave signal, the simulation results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4292015
    • 提供者:hxj
  1. dds_compiler_v4_0

    0下载:
  2. Verilog的DDS实现正弦波输出。这个模块是不可综合的,但是已经综合了,-Verilog DDS
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:16328
    • 提供者:mingtian
  1. DDS

    0下载:
  2. 用Verilog HDL 编写的一个最基本的DDS程序,发生正弦波-Verilog HDL prepared with a basic DDS program, the occurrence of a sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:890435
    • 提供者:董航
  1. SIN_GNT

    0下载:
  2. LPM_ROM定制。简单的正弦波发生器。 Verilog HDL语言设计。 EP4CE15F17C18N实测可用。-LPM_ROM customization. Simple sine wave generator. Verilog HDL designs. EP4CE15F17C18N measurement available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8589685
    • 提供者:Moira
  1. DDS2

    0下载:
  2. 基于Verilog语言的正弦波的产生,应用了基于直接数字频率合成器的方法。-Verilog language generated based on the sine wave, the frequency of application of the direct digital synthesizer based methods.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9687311
    • 提供者:王超
  1. DDS

    0下载:
  2. 基于fpga的DDS详细设计方案 verilog语言 正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。-Direct Digital Synthesizer base on fpga use verilog Sine calculator to calculate the value of the digital phase sine wave amplitu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5949576
    • 提供者:网窝囊
  1. wave_gen

    0下载:
  2. 波形发生器,可以产生正弦波,锯齿波,方波。Verilog语言编写-Waveform generator, can generate sine wave, sawtooth wave, square wave. Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:771192
    • 提供者:890921
  1. SinGen

    0下载:
  2. 使用Verilog编写的正弦波生成工程,使用ROM核产生,利用mif文件-Written using Verilog sine wave generation projects using ROM nuclear generation, use mif file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4097352
    • 提供者:杨玉
  1. sinewave-case

    0下载:
  2. 利用verilog语言以及case语句实现正弦波波形,并利用modelsim完成波形仿真。-Use verilog language and case statement to achieve sinusoidal waveform, and use modelsim complete waveform simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:65415
    • 提供者:刘云
  1. sin_quartus9.0

    0下载:
  2. 用Verilog实现不同相位的正弦波波形输出,使用到ROM查表方式,对不同相位的地址进行合成后查表得到不同相位的正弦波。-Implementation of Sine wave output with different phase.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4234132
    • 提供者:俞少迪
  1. sine

    0下载:
  2. FPGA实现正弦波信号的产生,verilog语言-FPGA realization generate sine wave signal, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2899145
    • 提供者:庄辉
  1. DDDDDDDDDSSS

    0下载:
  2. FPGA实现DDS正弦波、方波、三角波发生器Verilog程序(已验证)Quartus工程文件-FPGA realization DDS sine, square, triangle wave generator Verilog program (verified) Quartus Project Files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2844804
    • 提供者:wangjiali
  1. boxingfashengqi

    0下载:
  2. 波形发生器的源代码,有正弦波,三角波,锯齿波,方波。modelsim仿真,包含testbench仿真代码,testbench用的verilog编写,波形发生器源代码用的VHDL编写。-Waveform generator source code, sine, triangle, sawtooth, square wave. modelsim simulation, testbench simulation code contains, verilog write testbench use, w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4753911
    • 提供者:hbxgwjl
  1. dds_generater

    0下载:
  2. 波形发生器,可以生成正弦波、三角波、方波、锯齿波;可以选择输出频率和幅度,基于DDS设计,verilog和QuartusII开发-Waveform generator can generate sine, triangle, square wave, sawtooth wave you can the output frequency and amplitude, DDS-based design, verilog and development QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5154290
    • 提供者:zhang
  1. DDS(ok)

    0下载:
  2. 制作ROM正弦表并填充FPGA内部ROM,通过调用内部数据实现正弦波输出,开发环境quartusii , 语言verilog , 调试通过 , 附有modelsim调试结果。-Make ROM sine table and fill the ROM internal FPGA, by calling the internal data to achieve the sine wave output, development environment QuartusII, Language Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10149508
    • 提供者:PrudentMe
  1. codic

    0下载:
  2. codic算法代码,verilog,可产生正弦波,求正弦,余弦值。(useful codic, verilog HDL and test benth program)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-24
    • 文件大小:2048
    • 提供者:寻找希望
« 1 2 3 45 »
搜珍网 www.dssz.com