CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 自动 售货机

搜索资源列表

  1. vending-machine

    0下载:
  2. 自动售货机,5角1元输入,三种饮料输出,余额不足或售完会闪烁相关信息。-THis is a simulator of Vending Machine on Basys2 in verilog. 5jiao and 1yuan as input, 3 chioces for drinks. If all are sold out or more money is need, corresponding signals will flash on the LED screen.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:4910
    • 提供者:
  1. shop

    0下载:
  2. 自动售货机 Verilog 语言 状态机 FPGA 源代码 论文完整程序及验证结果-Vending machines FPGA Verilog language source code for the state papers and verify the results of a complete program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1647590
    • 提供者:王翠
  1. Vendor

    1下载:
  2. 用verilog编写的自动售货机,基于Basys2平台,共有3种物品可以选,分别为4元,2.5元,1元,可以投入3种类型的货币,分别为1元,5元,10元,共有5个状态。-This is a vending machine which is written by verilog on Basys2 board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1711438
    • 提供者:郭少佳
  1. lab7_2_new

    0下载:
  2. 移动信息工程学院实验课程源码:用FSM实现soda_machine(自动售货机)-Use verilog to implemwnt a soda_machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1965029
    • 提供者:Wangchy
  1. autosell

    0下载:
  2. 自动售货机程序,以Verilog三段式描述方法描述有限状态机FSM,编译及输出正常-Vending machine program, describe the method described in Verilog three-finite state machine FSM, compile and output normal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:636
    • 提供者:Tom xue
  1. VendingMac

    0下载:
  2. Verilog实现的自动售货机,使用有限状态机进行处理。包括Modelsim和Spnplify的综合工程。-Verilog realize vending machines, using a finite state machine for processing. Including integrated engineering and Spnplify of Modelsim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:238880
    • 提供者:scarab
  1. SaleMachine

    0下载:
  2. 使用verilog HDL语言编程的自动售货机程序,是初学者联系的FPGA的简单例程-Use verilog HDL programming language vending machine program, it is a simple routine for beginners to contact the FPGA
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-30
    • 文件大小:483504
    • 提供者:
  1. auto_seller_verilog

    0下载:
  2. 自动售货机的verilog实现,文档中有设计自动售货机的要求,下面附有代码的实现以及仿真波形-Vending machine verilog achieve, there is a document designed vending machine requirements, implementation and simulation waveforms with the following code
  3. 所属分类:software engineering

    • 发布日期:2017-05-07
    • 文件大小:1114491
    • 提供者:sjy
  1. shouhuojixi1

    0下载:
  2. 自动邮票售货机,选择要购买的邮票,直接投入硬币就可以购买。(Automatic stamp vending machine, select the stamps to buy, directly into coins can buy.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1732608
    • 提供者:阿茉
« 1 2 3»
搜珍网 www.dssz.com