CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 2

搜索资源列表

  1. Example-2-1

    0下载:
  2. 这些是verilog的开发实例,仅供参考.实例1-These are examples of the development of Verilog, for reference purposes only. Example 1
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:114138
    • 提供者:john
  1. Example-2-2

    0下载:
  2. 这些是verilog编程实例2,仅供参考-These are two examples of Verilog Programming for reference
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17292
    • 提供者:john
  1. Example-2-3

    0下载:
  2. 这些是verilog编程实例3,仅供参考-These are three examples of Verilog Programming for reference
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:152679
    • 提供者:john
  1. Example-2-4

    0下载:
  2. 这些是verilog编程实例4,仅供参考-These are four examples of Verilog Programming for reference
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:149728
    • 提供者:john
  1. vcs-fang-zheng-2

    0下载:
  2. VCS-verilog compiled simulator是synopsys公司的产品.其仿真速度相当快,而且支持多种调用方式 使用的步骤和modelsim类似,都要先做编译,在调用仿真.-VCS-verilog compiled simulator is synopsys company' s products. The simulation very fast, and supports multiple call mode use similar steps and models
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:179311
    • 提供者:liyucai
  1. 2

    0下载:
  2. 字库软件 带有字库的12864程序 用于verilog的程序 用于现实 程序中-12864 with the font software font program for verilog program for the real program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1421064
    • 提供者:刘雪峰
  1. MATLAB-and-verilog

    0下载:
  2. 1 采用正弦波,方波进行同步调制,实现调制信号、已调信号、解调信号的波形、频谱以及解调器输入输出信噪比的关系。 2 采用Verilog语言编写有符号的五位乘法器 3 实现数字与模拟调制-A sine wave, square wave synchronous modulation to achieve the modulation signal, the modulated signal, the demodulated signal waveform, spectrum and sig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:558995
    • 提供者:许学真
  1. 饮料售货机verilog

    0下载:
  2. 设计一个自动售货机系统,每份5分钱的饮料,只能投1分、2分、5分硬币,要求正确地找回钱数。
  3. 所属分类:VHDL编程

  1. FPGA 正交编码 verilog

    1下载:
  2. 用Verilog写的2倍频率正交编码的仿真测试程序,仿真波形已经调出
  3. 所属分类:VHDL编程

  1. verilog-2-1-4

    0下载:
  2. 卷积码(2,1,4)编解码的FPGA实现-Convolution code (2,1,4) decoding the FPGA implementation
  3. 所属分类:Software Testing

    • 发布日期:2017-04-14
    • 文件大小:2835
    • 提供者:小泽西
  1. usartV1.2

    0下载:
  2. 基于Verilog实现串口通讯,通过串口调试助手可测试(Serial communication based on Verilog, through the serial debugging assistant can test)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:4493312
    • 提供者:hitwhw
  1. AES-GF(2^4)^2 for sbox

    2下载:
  2. AES加解密程序,128bit数据位宽,其中sbox和混合列运算在复合域GF(2^4)^2上完成(An AES encryption and decryption program with 128 bits datawidth, which used GF(2^4)^2 for sbox and mixcolumn.)
  3. 所属分类:加密解密

    • 发布日期:2017-12-28
    • 文件大小:17408
    • 提供者:酱瓶
  1. Verilog数字系统设计教程(第2版)

    0下载:
  2. 适合学习fpga的童鞋们,verilog语言数字系统设计,一本很不错的学习资料。(Suitable for learning fpga children's shoes, verilog language digital system design, a very good learning materials.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:44099584
    • 提供者:斌河时代
  1. Denish_Thummar_Verilog_Assignment_1.2.tar

    0下载:
  2. assignment 1.2 of verilog which contains all questions answer.
  3. 所属分类:其他

    • 发布日期:2018-04-28
    • 文件大小:31744
    • 提供者:pothiyo
  1. 《数字逻辑基础与Verilog设计》

    2下载:
  2. 学习FPGA的入门书籍,主要内容包括:逻辑电路、组合逻辑、算术运算电路、存储元件、同步时序电路(有限状态机)、异步时序电路、测试等。《数字逻辑基础与Verilog设计》(原书第2版)内容全面,概念清楚,结合了逻辑设计最新技术的发展。(Learn the introductory books of FPGA. The main contents include logic circuit, combinational logic, arithmetic operation circuit, sto
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:52497408
    • 提供者:假假假
  1. Assignment-2.1.tar

    0下载:
  2. verilog codes for different basic digital circuits elements new
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:34816
    • 提供者:guy03
  1. Assignment-2.2.tar

    0下载:
  2. verilog-HDL codes for different basic digital circuits elements
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:26624
    • 提供者:guy03
  1. Assignment-2.3.tar

    0下载:
  2. HDL code using verilog
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:19456
    • 提供者:guy03
  1. 《Verilog HDL设计与实战》配套代码(2)

    2下载:
  2. 《Verilog HDL设计与实战》配套代码 (2)("Verilog HDL design and actual combat" matching code (2))
  3. 所属分类:书籍源码

    • 发布日期:2018-04-30
    • 文件大小:103367680
    • 提供者:铭铭扬扬
  1. Verilog的135个经典设计实例

    1下载:
  2. Verilog的135个经典设计实例,部分摘录如下:【例 9.23】可变模加法/减法计数器【例 11.7】自动售饮料机【例 11.6】“梁祝”乐曲演奏电路【例 11.5】交通灯控制器【例 11.2】4 位数字频率计控制模块【例 11.1】数字跑表【例 9.26】256×16 RAM 块【例 9.27】4 位串并转换器【例 11.8】多功能数字钟【例 11.9】电话计费器程序【例 12.13】CRC 编码【例 12.12】(7,4)循环码纠错译码器【例 12.10】(7,4)线性分组码译码器【例
  3. 所属分类:VHDL/FPGA/Verilog

« 1 23 4 5 6 7 8 9 10 ... 28 »
搜珍网 www.dssz.com