CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 2

搜索资源列表

  1. lec1-2

    0下载:
  2. verilog review and its possible application
  3. 所属分类:Document

    • 发布日期:2017-04-29
    • 文件大小:420114
    • 提供者:damasqas
  1. 16bit_display8bitLED

    0下载:
  2. Abstract七段显示器在DE2可当成Verilog的console,做为16进位的输出结果。Introduction使用环境:Quartus II 7.2 SP1 + DE2(Cyclone II EP2C35F627C6)简单的使用switch当成2进位输入,并用8位数的七段显示器显示16进位的结果。-Abstract Seven-Segment Display as Verilog to DE2 at the console, as 16 of the output binary. In
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:6756
    • 提供者:王媛媛
  1. XHDL3Version3·2·37

    0下载:
  2. vhdl语言和verilog语言转换工具 能很容易的实现两种语言的相互转换-verilog language vhdl language and conversion tools can easily achieve the conversion between two languages
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3963600
    • 提供者:liulei
  1. Segment2

    0下载:
  2. ep2c5 实现 段寄存器 verilog语言,quartus 2 仿真-the realization of paragraph ep2c5 register verilog language, quartus 2 Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:375841
    • 提供者:lizhuodong
  1. BaseGate

    0下载:
  2. ep2c5 实现 逻辑门 verilog语言,quartus 2 仿真-ep2c5 the realization of logic gates verilog language, quartus 2 Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:320596
    • 提供者:lizhuodong
  1. Timer

    0下载:
  2. ep2c5 实现 定时器 verilog语言,quartus 2 仿真-verilog language to achieve ep2c5 timer, quartus 2 Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:497342
    • 提供者:lizhuodong
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. 16qam——modulation

    1下载:
  2. verilog编写的16qam调制程序,将所有东西装入工程,运行mmm16主程序。其中载波为一个周期采十个点,并乘以2^8-1取整数。在quartusII运行通过。-verilog modulation procedures 16qam prepared all things into works mmm16 to run the main program. One carrier for a cycle of 10 points taken, and multiplied by an inte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5018
    • 提供者:王力宏
  1. usb_funct

    0下载:
  2. USB 2.0 verilog源代码,内包含详细文档资料。-USB 2.0 verilog source code, which contains detailed documentation.
  3. 所属分类:Com Port

    • 发布日期:2017-03-28
    • 文件大小:196402
    • 提供者:夏玥
  1. v2html

    0下载:
  2. Verilog 2 Html Perl Source Code
  3. 所属分类:Browser Client

    • 发布日期:2017-04-04
    • 文件大小:78100
    • 提供者:陈小文
  1. 2

    0下载:
  2. 针对C语言编程者的Verilog开发指南实例-C language programming for the development of guidelines for examples of Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:135741
    • 提供者:pan
  1. design

    1下载:
  2. The verilog implementation of 8-point FFT in verilog. Radix 2 Decimation in Frequency.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:10213
    • 提供者:Hong-soo
  1. fft_hdl

    0下载:
  2. 一个 16点 FFT 用基2蝶形运算单元完成,有测试环境。-16 points FFT with a radix-2 butterfly computation unit is completed and test environment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:21873
    • 提供者:wei
  1. 2-10

    0下载:
  2. verilog写的2进制转换10机制代码-source for 2~10 with verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:874
    • 提供者:张三山
  1. miaobiao

    0下载:
  2. 用VERILOG实现秒表的开发设计,(1)熟悉按键扫描、按键防抖和数码管驱动接口电路原理;(2)掌握按键扫描、按键防抖和数码管驱动接口电路设计开发;(3)掌握状态机实际应用设计。-To achieve the development of a stopwatch with VERILOG Design, (1) be familiar with key scanning, image stabilization and digital control key driver interface c
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2441468
    • 提供者:邓军
  1. fft2

    3下载:
  2. 512点8位基2fft程序。基于 vhdl/verilog。已仿真布线通过。-512 points, eight base 2fft program. Based on vhdl/verilog. Simulation layout has been adopted.
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-24
    • 文件大小:20453
    • 提供者:包鼎华
  1. conv_vhdl

    0下载:
  2. 用Verilog实现卷积码(2,1,2)的编码器,采用状态机来完成在modelsim下的仿真-Verilog implementation using convolution code (2,1,2) encoder, using a state machine to complete the modelsim simulation under the
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:568
    • 提供者:吴雪
  1. lcd16032

    0下载:
  2. 金鹏液晶LCD,OCMJ2X10C-2,4并口调用子程序,可直接移植-Jinpeng liquid crystal LCD, OCMJ2X10C-2, 4 parallel subroutine calls can be directly transplanted
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:2608
    • 提供者:520pdm
  1. lab5n(2)

    0下载:
  2. verilog-wire a simple circuits
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:113509
    • 提供者:Leona
  1. 2

    0下载:
  2. simple code of some kind of base decoder based on verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:777
    • 提供者:Tera
« 1 2 3 4 56 7 8 9 10 ... 28 »
搜珍网 www.dssz.com