CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog adder

搜索资源列表

  1. acc32bit 本设计为32位数字相位累加器

    1下载:
  2. 本设计为32位数字相位累加器,门级描述的Verilog代码。其中,acc32bit.v为顶层文件,full_add1.v为一位全加器的门级描述模块,flop.v为触发器的门级描述模块。-The design for the 32-bit digital phase accumulator, gate-level descr iption of the Verilog code. Which, acc32bit.v as top-level file, full_add1.v as a full
  3. 所属分类:VHDL编程

    • 发布日期:2014-10-27
    • 文件大小:755712
    • 提供者:吴亮
  1. waterline_adder.rar

    0下载:
  2. 这是一个用Verilog编写的四级流水线加法器,This is a Verilog prepared with four pipeline adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:553
    • 提供者:伊莲幽梦
  1. add_tree_mult

    0下载:
  2. 8位加法树乘法器,实现两个8位二进制数相乘,采用verilog hdl-8-bit adder tree multiplier, the achievement of the two 8-bit binary number multiplied, using verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:852
    • 提供者:江浩
  1. c15_add

    0下载:
  2. 精通verilog HDL语言编程源码之1--常用加法器设计-Proficient in programming language source verilog HDL of 1- Common adder design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1743
    • 提供者:李平
  1. cla4

    0下载:
  2. verilog code 4-bit carry look-ahead adder output [3:0] s //summation output cout //carryout input [3:0] i1 //input1 input [3:0] i2 //input2 input c0 //前一級進位-verilog code4-bit carry look-ahead adderoutput [3:0] s// summationoutput cout// c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1369
    • 提供者:沙嗲
  1. bitadder

    0下载:
  2. 一位全加器,VERILOG实现,包括测试文件,测试可用,欢迎下载,共同学习-A full adder, VERILOG implementation, including test papers, test available, please download, a common study
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1185
    • 提供者:wangdali
  1. 16weijiafaqi

    0下载:
  2. 本程序是在一位全加器的基础上设计一个16位的加法器,用Verilog HDL语言描述.-This procedure is a full-adder based on the design of a 16-bit adder, using Verilog HDL language to describe.
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:660
    • 提供者:陈什江
  1. Common_adder_verilog_design

    0下载:
  2. 上传文件为:常用加法器verilog设计.rar-Upload files as follows: common adder verilog design. Rar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1675
    • 提供者:海天之洲
  1. select_adder

    0下载:
  2. implement of select adder with verilog
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:29881
    • 提供者:shabnam
  1. Adder_Verilog

    0下载:
  2. 对于Verilog初学者非常实用的代码,帮助了解许多常用的加法器-Very useful for beginners Verilog code to help understand the many commonly used adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1673
    • 提供者:周士威
  1. add_sub

    0下载:
  2. basu verilog codes for adder subtracor etc
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:21253
    • 提供者:cesariokhurmi
  1. FullAdderDesign

    0下载:
  2. Verilog Code For Full Adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8167
    • 提供者:hallowen
  1. bitadder

    0下载:
  2. verilog code for 4 bit adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6759
    • 提供者:sandeep
  1. HA

    0下载:
  2. Verilog HDL for Half Adder, Full Subtractor, Half Subtractor and 2x4 decoder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1347
    • 提供者:leo
  1. add_16bits

    0下载:
  2. 這是16bits加法器,利用verilog程式撰寫-adder-19bts
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:8328
    • 提供者:鍾潤宏
  1. full_adder_code_in_verilog

    0下载:
  2. full adder in verilog
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:603
    • 提供者:ahmed
  1. fadd

    0下载:
  2. it is verilog code for floating point adder
  3. 所属分类:source in ebook

    • 发布日期:2017-04-11
    • 文件大小:1185
    • 提供者:vijay
  1. ex1.v

    0下载:
  2. 用Verilog HDL 实现的4位二进制全加器。-4-bit full adder implemented with Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:733
    • 提供者:gb18030
  1. chengxu

    0下载:
  2. 加法器 比较器verilog hdl 等简单小程序 新手学习中 见谅-Adder comparator verilog hdl Adder comparator verilog hdl a small way as simple novice learning apologize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:835
    • 提供者:张俊
  1. Mini_Proj3

    0下载:
  2. Embedded 16 bit adder designed and implemented on Altera FPGA DE1 board using SOPC system builder and tested with NIO2 software. Language:Verilog and C
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:202941
    • 提供者:binh
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 19 »
搜珍网 www.dssz.com