CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog codes

搜索资源列表

  1. verilogzzhwfy

    0下载:
  2. 用Verilog实现QPSK中的差分,扰码,串并,解差分,解扰码,解串并,用MUXPLUS2进行仿真-QPSK with Verilog realize the difference, code, and serial, Xie difference, encryption codes, and solutions Series, The simulation used MUXPLUS2
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5593
    • 提供者:周正华
  1. hdlc

    3下载:
  2. 该工程是基于verilog hdl 语言编写的帧传输协议HDLC帧的发送端代码,会用QUATUSII的人都应该知道如何使用,希望能给你带来帮助-The project is based on the language verilog hdl frame transmission protocol HDLC frame of this generation - Codes will be used QUATUSII people should know how to use, in the hop
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:382986
    • 提供者:何丹萍
  1. verilog_code

    0下载:
  2. 這是一堆verilog的source code.包含許多常用的小電路.還不錯用.-many verilog source codes, include a lot of small electrocircuit.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:170444
    • 提供者:ㄚ福
  1. hamming_encodeadecode

    1下载:
  2. 用Verilog语言编写的对m序列进行汉明码编译码的程序。具体实现为产生m序列后对其进行(7,4)汉明码编码并加错,然后将其纠错译码并输出,详细过程见仿真。-Written by Verilog m sequence of procedures for coding and decoding Hamming codes. Concrete realization of m sequence to produce its (7,4) hamming code and a mistake, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:308700
    • 提供者:周杰奏
  1. no

    0下载:
  2. My verilog codes.l vdkvmomvemcmemekmkem
  3. 所属分类:VHDL编程

    • 发布日期:2015-10-19
    • 文件大小:1776
    • 提供者:hasan110904
  1. clk_generator

    0下载:
  2. 时钟分频的verilog代码,能够实现小数分频,文件为Xilinx ISE工程文件-Frequency clock divider verilog codes, it is possible to achieve fractional file to Xilinx ISE Project Files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:389954
    • 提供者:duzengquan
  1. rc4_crypt

    1下载:
  2. 自己写的rc4加解密算法部分的verilog代码,可综合,供大家参考-Write your own encryption algorithm verilog codes rc4 section can be integrated, for your reference
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-13
    • 文件大小:2048
    • 提供者:derek
  1. Verilog-Codes

    0下载:
  2. Bit serial Multiplier
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1717
    • 提供者:Rids
  1. gds8k_32bit_1M

    0下载:
  2. 一款SRAM的verilog代码及版图信息-verilog codes and layout information of a RAM
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1057027
    • 提供者:高翔
  1. 32-bit-carry-look-ahead-adder

    0下载:
  2. This file contains Verilog codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:11399
    • 提供者:Maf
  1. verilog-juanjima

    1下载:
  2. 卷积码是一种重要的前向纠错信道编码方式,其纠错性能常常优于分组码,且(2,1,7)卷积码已应用于现代卫星通信系统中。Viterbi译码算法能最大限度地发挥卷积码的优异性能。这里采用Verilog  HDL语言设计出(2,1,7)卷积码的编码器模块和基于Viterbi算法的译码器模块,译码器采用全并行结构,译码速度快-Convolutional code is an important forward error correction channel coding method, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:10240
    • 提供者:邓博于、
  1. aes_encryption

    0下载:
  2. AES 加密算法, 可综合的 verilog代码-AES encryption algorithm, synthesizable verilog codes
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-04
    • 文件大小:80653
    • 提供者:就睡觉
  1. 16x 16 vedic mulbit

    0下载:
  2. vedic 16x16 design and teshbench fully working codes..
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:5120
    • 提供者:GIRISH
  1. Chapter 4

    0下载:
  2. codes and simulation of chapter 4
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:32768
    • 提供者:sadii
  1. Final

    0下载:
  2. u should upload 5 codes/documents
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-08
    • 文件大小:24576
    • 提供者:mn7928
  1. CODES+ISSS'07 Full Paper.pdf

    0下载:
  2. network on chip paper
  3. 所属分类:其他

    • 发布日期:2018-04-21
    • 文件大小:229376
    • 提供者:kmwat
  1. 2bit_ecc

    0下载:
  2. 基于BCH码的ECC纠错算法,可纠错2位错误码,供参考(Based on BCH code ECC error correction algorithm, two error codes can be corrected for reference.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:24576
    • 提供者:一粒尘埃
  1. Verilog codes

    0下载:
  2. IT IS A CARRY S ELECT ADDER TO IMPROVE PERFORMANCE.
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:3072
    • 提供者:JackRIDGE
  1. Assignment-2.2.tar

    0下载:
  2. verilog-HDL codes for different basic digital circuits elements
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:26624
    • 提供者:guy03
  1. CRC5 verilog code

    0下载:
  2. CRC5 calibration code with verilog format language! please you download to embed to your application codes!
  3. 所属分类:其它源码

« 1 2 3 4 5 67 8 9 »
搜珍网 www.dssz.com