CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 分频

搜索资源列表

  1. Q7230

    0下载:
  2. PLD-N分频程序,使用时可以任意修改(VHDL)-PLD --N procedures can be arbitrary use of Laws (VHDL)
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:190874
    • 提供者:C51
  1. 32fenpinqi

    0下载:
  2. 这是用VHDL语言写的32位分频器的程序,可直接运行,看结果,欢迎使用。多指正,交流。-This is written in VHDL 32 dividers procedures can be run directly see the results, welcomed the use. More correct exchange.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12693
    • 提供者:刘彦平
  1. clk_divide_3

    0下载:
  2. VHDL语言编写三分频,可以扩展实现任意奇数-VHDL prepared three frequency can be extended to achieve arbitrary odd
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125177
    • 提供者:利津候
  1. freqcntr

    0下载:
  2. 分频器 几次分频欧次分频 vhdl 语言实现-several hours, frequency dividers Europe subregional frequency VHDL Language
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8401
    • 提供者:hjj
  1. FPGAprogram2

    0下载:
  2. 半整数分频器电路的VHDL源程序,供大家学习和讨论。 -half-integer frequency divider circuit VHDL source code for all learning and discussion.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3379
    • 提供者:许嘉
  1. 399

    0下载:
  2. 用VHDL编写的8位全加器,数字分频器等程序-VHDL prepared by the eight All-Canadian, digital dividers procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:570095
    • 提供者:骷髅
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. clk_div_16

    0下载:
  2. 利用VHDL语言编写的一个16分频器,另外可以在程序中修改为任意2N的分频器-use VHDL prepared a 16 dividers, Also in the revision process to be arbitrary 2 N Divider
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:25501
    • 提供者:黎飞飞
  1. N-0.5fenpinqi

    1下载:
  2. vhdl N-0.5分频方法设计,可以输入任意数值N,即分得到N-0.5的频率。-vhdl N - 0.5-frequency method, we can input arbitrary numerical N, namely, to be N - 0.5 frequencies.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4509
    • 提供者:孔标
  1. taxiwork

    0下载:
  2. 介绍了基于FPGA的多功能计程车计价器的电路设计。该设计采用了可编程逻辑器件FPGA的ASIC设计,并基于超高速硬件描述语言VHDL在Xilinx公司的SpartanⅡ系列的2sc200PQ208-5芯片上编程实现了整个系统的控制部分,整个自动控制系统由四个模块构成:秒分频模块、控制模块、计量模块和译码显示模块。该设计不仅仅实现了显示计程车计费的功能,其多功能表现在它可以通过选择键选择显示计程车累计走的总路程和乘客乘载的时间。计时、计程、计费准确可靠,应用于实际当中有较好的实用价值和较高的可行性
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:9090
    • 提供者:柑佬
  1. fenpin

    0下载:
  2. 本程序是用VHDL语言,非整数分频的一个实现, fenpin.vhd为主程序-this procedure is used VHDL, non-integer frequency of a realization of the main procedures fenpin.vhd
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:3406
    • 提供者:陈云松
  1. clk_div3

    0下载:
  2. vhdl语言写的基数分频器,多平台,通过MODESIM仿真-vhdl language to write the base dividers, multi-platform, through simulation MODESIM
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:39431
    • 提供者:xiaoshichang
  1. compDIVIDER

    0下载:
  2. 基于VHDL语言描述的一个分频器,根据端口值,可作为四分频,八分频等分频器使用。-based on VHDL descr iption of a divider, according to port value, as a quarter of frequency, Frequency Divider interval such use.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1396
    • 提供者:djksdf
  1. spant

    0下载:
  2. 一个在spantan3上实现的24路分频VHDL程序,实现方法简单,并且在硬件电路上跑过,可以直接使用。可以进一步修改成PWM程序。-a spantan3 achieved in the 24-way frequency VHDL procedures, simple, and the hardware circuits once ran can be used directly. Can be further modified as PWM procedures.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1543
    • 提供者:林海
  1. byvhdstopwatchl

    0下载:
  2. 1.高精度数字秒表(0.01秒的vhdl语言实现) 2.具有定时,暂停,按键随机存储,翻页回放功能; 3.对30M时钟分频产生显示扫描时钟 4.精度高达0.01s,并且可以通过改变主频来更改分频比和记数间隔,可控性高。 5.模块化设计,其中的许多函数可以成为vhdl语言的通用经典例子(包含分频电路设计,动态扫描时钟设计,译码电路设计,存储器设计,存储回放显示设计)-1. High-precision digital stopwatch (0.01 seconds vhdl la
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1995
    • 提供者:方周
  1. feizhenshu

    0下载:
  2. 非整数分频器 分频系数为无限不循环小数 vhdl-non-integer frequency divider coefficient of circulator is not unlimited vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1828
    • 提供者:那锋
  1. clk_div2n

    0下载:
  2. 这是用VHDL 语言编写的参数可以直接设置的2n倍时钟分频器,在运用时,不需要阅读VHDL源代码,只需要把clk_div2n.vhd加入当前工程便可以直接调用clk_div2n.bsf。-This is the VHDL language parameters can be directly installed 2n times the clock dividers, when exercising not reading VHDL source code, clk_div2n.vhd simp
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1588
    • 提供者:谢光华
  1. renyizhengshufenpingdeVHDLdaima

    0下载:
  2. 本文件是实现任意整数分频的VHDL代码,愿与大家分享!-this document is arbitrary integer frequency VHDL code, and is willing to share with you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1097
    • 提供者:少华
  1. vhdl 播放器

    0下载:
  2. 利用分频器设计硬件乐曲演奏电路;通过开关实现乐曲的切换
  3. 所属分类:中间件编程

  1. VHDL秒表计时

    0下载:
  2. 用VHDL实现秒表计时,包括对于时钟分频的体现和对秒表计数的体现,最终是将编码译码到七段数码管
  3. 所属分类:其它源码

« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 27 »
搜珍网 www.dssz.com