CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 分频

搜索资源列表

  1. FPGAzigzag

    0下载:
  2. 三角波发生器,VHDL语言描述,通过信号分频等实现波形发生,已经在示波器上验证了,效果不过。-Triangular wave generator, VHDL language descr iption, such as through the realization of the signal waveform frequency has been verified on an oscilloscope, the effect, however.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:46648
    • 提供者:math
  1. fenpin

    0下载:
  2. 此程序是用硬件描述语言VHDL编写的分频程序,实现了不同的频率输入。-This procedure is the preparation of hardware descr iption language VHDL sub-frequency procedures, to achieve a different frequency input.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2916
    • 提供者:于贵贤
  1. clk_8

    0下载:
  2. 一个八分频的VHDL程序,经过编译和仿真.-An octant of the VHDL-frequency procedures, after the compiler and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:145094
    • 提供者:何情
  1. clk_3d

    0下载:
  2. 一个1.5分频的VHDL程序,经过编译和仿真.-A frequency of 1.5 points VHDL program, after compiling and simulation.
  3. 所属分类:MPI

    • 发布日期:2017-03-30
    • 文件大小:184209
    • 提供者:何情
  1. div5

    0下载:
  2. 利用VHDL语言描述的5分频器(改变程序中m1,m2值,可作为任意奇数分频器)-The use of VHDL language is described in 5 prescaler (change procedure m1, m2 value, can be used as arbitrary odd prescaler)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:253601
    • 提供者:zfc
  1. electronicorgan

    0下载:
  2. 电子琴VHDL程序包含有:顶层程序、音阶发生器程序、数控分频模块程序和自动演奏模块程序-VHDL flower contains are: top-level procedures, scale generator procedures, numerical control frequency module procedures and module procedures performed automatically
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:50091
    • 提供者:苏芬
  1. phase_lock_vhdl

    0下载:
  2. 在VHDL下实现锁相环的源码和说明文档.通常用于分频或倍频时进行相位锁定.-To achieve phase-locked loop in the VHDL source code and documentation. Normally used when the frequency or frequency-doubling phase locked.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:168647
    • 提供者:刘科
  1. ch5_8

    0下载:
  2. 用VHDL写的一个5/8分频器,希望对刚学习VHDL的朋友有帮助-Use VHDL to write a 5/8 prescaler, and they hope to study VHDL friends just have to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:144567
    • 提供者:陈阿水
  1. dividefrequency

    0下载:
  2. 如何用VHDL语言对时钟进行分频以达到计数目的-how to achive counting by VHDL Language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1797
    • 提供者:liaodan
  1. cpld

    0下载:
  2. 工程中使用的一段资源管理vhdl程序,有简单的分频代码等,希望能给你帮助-a vhdl program use in my prj ,may be give u some help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:40973
    • 提供者:teng
  1. fpq

    0下载:
  2. ISP实验分频器源程序,用VHDL写的,在x3s200an芯片上编译的-ISP prescaler source experiment, using VHDL written in compiled x3s200an chip
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:811
    • 提供者:ylh
  1. verilog_renyifenpin

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1303
    • 提供者:小泉儿
  1. clk_div.vhd

    0下载:
  2. 实现对时钟信号的技术分频,程序简单易懂,对于初学VHDL者来说,提供了一个良好的方法。-Implementation of the clock signal frequency technology, the program easy to understand, for the beginner who VHDL, provides a good approach.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1516
    • 提供者:王宇坤
  1. ClockDividedBy10

    0下载:
  2. 爱用硬件描述语言VHDL实现输入时钟10分频输出-divide CLOCK by 10 using VHDL
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-14
    • 文件大小:3238
    • 提供者:陈绪文
  1. 0zzClockDividedBy10

    0下载:
  2. 爱用硬件描述语言VHDL实现输入时钟10分频输出-divide CLOCK by 10 using VHDL
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-14
    • 文件大小:3247
    • 提供者:陈绪文
  1. hehaClockDividedBy10

    0下载:
  2. 爱用硬件描述语言VHDL实现输入时钟10分频输出-divide CLOCK by 10 using VHDL
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-14
    • 文件大小:3366
    • 提供者:陈绪文
  1. clk_div

    0下载:
  2. VHDL描述的时钟分频电路,用途广-VHDL descr iption of the clock divider circuit, uses widely ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:904
    • 提供者:zhan
  1. single_clock_divider.tar

    0下载:
  2. 关于基数分频技巧设计,基于VHDL语言,对实际设计有帮助-DIVIDE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:118816
    • 提供者:施生
  1. shukongfenpin

    0下载:
  2. 数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成 果的可修改性和可移植性都较差。基于VHDL 的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用 并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、乐器等数字电子系统中。-NC divider output signal frequency is a function of input data. Using traditional methods of desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:173768
    • 提供者:邱颖
  1. yinyuefenpin

    0下载:
  2. 十二音阶和八度分频的硬件描述语言VHDL程序,测试通过成功-12 sub-octave scale and frequency of the hardware descr iption language VHDL procedures, test the success of
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1232
    • 提供者:wlx
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 27 »
搜珍网 www.dssz.com