CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 电梯

搜索资源列表

  1. VHDL1

    0下载:
  2. 基于VHDL的电梯控制器的设计,对于毕业设计有很大帮助-VHDL-based elevator controller design, the design of great help for graduation
  3. 所属分类:Other systems

    • 发布日期:2017-05-19
    • 文件大小:5265094
    • 提供者:liujun
  1. Elevator_Controller

    1下载:
  2. 设计一个多层单轿厢电梯控制器,该控制器可以控制电梯完成9个楼层的载客服务。-Design a multi-single-car elevator controller, the controller can control the elevator to complete nine floors of the passenger service.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:342751
    • 提供者:刘智虎
  1. elevatorcontroller

    0下载:
  2. 用VHDL语言实现电梯控制器的设计,能够很好地实现功能,并且包含实验报告-VHDL elevator controller design,experiment report
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:72704
    • 提供者:yanzi
  1. dianti

    1下载:
  2. 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-04
    • 文件大小:124928
    • 提供者:李辉
  1. lift

    0下载:
  2. 本设计用VDHL实现了50层电梯的控制,实现的功能有(1)用LED显示电梯的行进过程,即用数码管显示电梯当前所在楼层的位置。 (2)在每层电梯的入口处有两个按钮上升请求(up)和下降请求(down),按钮按下时则对应的LED亮。 (3)电梯到达了有请求的楼层之后,把门打开。停留15秒之后,把门关闭。 (4)电梯的运行遵循方向优先原则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下面上逐个执行,直到最后一个上楼请求执行完毕,如更高层有下楼请求则直接上升到有下楼请求的最
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7878168
    • 提供者:Jackie Liang
  1. FPGA

    0下载:
  2. 主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。-Introduces the VHDL, the electronic clock, LCD, LED, keyboard, elevator and other development programs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13878565
    • 提供者:huizeng
  1. DianTiKongZhiQi-VHDL

    0下载:
  2. 电梯控制器VHDL程序,包含记忆,上升,下降,停站等功能,以及超载,故障后报警功能.rar-Elevator controller VHDL program, including memory, up, down, stop and other functions, and overloading, failure alarm. Rar
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1915
    • 提供者:
  1. Six-story-elevator-controller

    0下载:
  2. 六层电梯控制器,这个很不错的,分享给大家-Six-story elevator controller, this is very good to share for everyone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:3451
    • 提供者:木三清
  1. diantikongzhixiqi2

    0下载:
  2. 基于FPGA的电梯控制器。其中一共分为3部分,1、显示电路的VHDL程序。2、9层电梯控制器主体的程序。3、顶层模块设计程序-The elevator control system based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:17979
    • 提供者:shanelai
  1. VHDL

    0下载:
  2. 六层电梯控制VHDL编程程序,有解释说明-Six-story elevator control VHDL programming procedures, an explanation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5949
    • 提供者:吴永
  1. EDA-and-Technology-Application

    0下载:
  2. EDA技术综合应用实例与分析的课堂讲义,ppt格式的,里面有很多例程,例如第14章 出租车计费系统,第9章 电梯控制器的设计与分析,第12章 图像边缘检测器的设计-EDA and Technology Application and analysis of the lecture notes, ppt format, there are many routines, such as Chapter 14, a taxi billing system, Chapter 9, the elevato
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:24608567
    • 提供者:侯娟
  1. dianti1

    0下载:
  2. 该程序是一个简单的电梯控制程序,运用VHDL语言编程,能实现电梯所要的功能并在DE2板上演示-The program is a simple elevator control procedures, the use of VHDL language programming, to achieve the desired function of the elevator and in the DE2 board demo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1009055
    • 提供者:zlj
  1. a

    0下载:
  2. 一个VHDL的电梯控制系统的论文,含代码及仿真文件-A VHDL papers elevator control system, including code and simulation files
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:119490
    • 提供者:sylor
  1. Elevator-controller-VHDL

    0下载:
  2. 电梯控制器程序设计与仿真,走过路过,千万不要错过!-Elevator controller programming and simulation, passing through, do not miss!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:162475
    • 提供者:
  1. dtc

    0下载:
  2. 用DE2 开发板 来模拟仿真现实中的电梯控制 此程序中的电梯数目位8层-With the DE2 board to reality simulation of the elevator control this process the number of bits in the elevator 8 layer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:654772
    • 提供者:王朋
  1. dianti

    0下载:
  2. 一个vhdl电梯控制器程序。1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。 6、 电梯运行
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2498
    • 提供者:梁翼飞
  1. vhdl

    0下载:
  2. 用FPGA实验台下载实现的简易电梯控制系统,-FPGA test-bed with a simple download to achieve the elevator control system,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:353412
    • 提供者:dowson
  1. DT.vhdl

    0下载:
  2. 电梯的vhdl设计,6层楼含开关门,警报,内部请求,外部请求。-VHDL design of the elevator six floors containing switch doors, alarm, internal requests, external requests.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:162528
    • 提供者:雪圣
  1. Fivelift-VHDL

    0下载:
  2. 用VHDL语言以及状态机实现五层电梯控制器。-VHDL language and the state machine to achieve the five-story elevator controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:7354
    • 提供者:王峰
  1. VHDL

    0下载:
  2. 基于FPGA的六层电梯控制器系统,-FPGA-based six-story elevator controller system,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:8109
    • 提供者:shuolei
« 1 2 3 45 6 7 8 9 »
搜珍网 www.dssz.com