CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl Rom

搜索资源列表

  1. 4_31

    0下载:
  2. 这是一个交织器/解交织器的FPGA实现,虽然交织器的功能简单,但是其实现比较复杂-This is an interleaver/de-interleaver to achieve the FPGA, although the function of interleaver simple, but its more complicated to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:834348
    • 提供者:谢建伟
  1. rams

    0下载:
  2. 一个简单的rom的VHDL描述,希望对大家有点帮助-Rom a simple descr iption of VHDL, in the hope that we are a bit to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3598
    • 提供者:肖冠兰
  1. singt

    0下载:
  2. 用VHDL语言描述的用锁存器,加法计数器,ROM存储器构成的RTL图-VHDL language used to describe the use of latches, adding counters, ROM memory map consisting of RTL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:341040
    • 提供者:王洁
  1. CPLD_CD

    0下载:
  2. 《CPLD开发实例》的配套光盘文件,包含大量的CPLD小程序,用VHDL语言描述-" CPLD development of examples of" CD-ROM of supporting documents, including a large number of small procedures CPLD, VHDL language used to describe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2393702
    • 提供者:zw1292
  1. correlator

    0下载:
  2. 代码主要说明了乘积检波器的vhdl描述,同时压缩包中还附带的与之相关的rom,mul4*4乘法器的vhdl描述。 用quartus2软件即可打开使用。-Code shows the main detectors of vhdl product descr iptions, at the same time compressed package also comes with associated rom, mul4* 4 multiplier vhdl descr iption. Quart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1313
    • 提供者:杨帆
  1. FPGAshili

    0下载:
  2. 学习VHDL语言时买的教科书后面的光盘,有20个典型的VHDL编写的程序,是学习VHDL和FPGA的非常好的资料,很多程序在网上是找不到的。-VHDL language learning textbook buy back the CD-ROM, 20 a typical procedure for the preparation of the VHDL, VHDL and FPGA to learn the very good information, many procedures are
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8384037
    • 提供者:但的东
  1. TLC5510VHDL

    0下载:
  2. 8.5 TLC5510 VHDL控制程序 见随书所附光盘中文件:TLC5510VHDL程序与仿真。 --文件名:TLC5510.vhd --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 -8.5 TLC5510 VHDL control procedures, see the book with accompanying CD-ROM in the file: TLC5510VHDL procedures and sim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:3043
    • 提供者:wangnan
  1. DEMO_44_ROM

    0下载:
  2. 这是用vhdl语言描述一个rom的源代码,欢迎大家下载-This is the language used to describe a vhdl source code rom, welcome you to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:585449
    • 提供者:fuchun
  1. vhdl_rom

    0下载:
  2. 可生成rom.初学者可以看看。很不错的。理解后生成rom很容易的。-LPM_ROM .It is good for VHDL new learner
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-09
    • 文件大小:1999227
    • 提供者:小真话
  1. LPM_ROM

    0下载:
  2. 可生成rom.初学者可以看看。很不错的。理解后生成rom很容易的。-LPM_ROM .It is good for VHDL new learner
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-05
    • 文件大小:52385
    • 提供者:小真话
  1. Sinusoidalsignalgenerator

    0下载:
  2. 用硬件描述语言vhdl中的ROM模块实现正弦信号发生器 -Sinusoidal signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:465801
    • 提供者:yeyang
  1. RAM.ZIP

    0下载:
  2. VHDL CODE FOR RAM AND ROM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:952
    • 提供者:praba
  1. ROM_based_sine_wave_generator_VHDL_design

    0下载:
  2. VHDL基于ROM的正弦波发生器的设计的实验报告,内附源代码-ROM-based sine wave generator VHDL design of experiment reports, included the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4367
    • 提供者:CXJ
  1. renyiboxing

    0下载:
  2. 信号发生器是一种常用的仪器,能够实现各种波形,不同频率的输出,电子测试系统的重要部件。本研究 的数字信号发生器足基于直接数字合成即DDS技术设计的,采用VHDL与C语言相结合的方法,通过查找存储 于ROM查找表中的各种标准波形数据,产牛频率Hf调并且高精度的正弦波、方波、锯齿波等常用信号,并且町 以通过修改表中的数据,实现任意信号发生器-Signal generator is a commonly used instrument to achieve a variety of wav
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:268299
    • 提供者:姚木
  1. ram_latest

    0下载:
  2. VHDL实现CISC模型微处理器设计(含有rom和ram)本程序实现的是输入10个数,输出最小负数-VHDL model to achieve CISC microprocessor design (with rom and ram) to achieve this procedure is the number of input 10 and output the smallest negative
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1806869
    • 提供者:叶才三
  1. KID_ROM

    0下载:
  2. VHDL实现的只带rom的CISC模型微处理器设计 实现的是输入10个数,输出最小负数-VHDL implementation of the model with only rom the CISC microprocessor designs Realize that the number of input 10 and output the smallest negative
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1883672
    • 提供者:叶才三
  1. aahr

    0下载:
  2. vhdl下编写的rom,vhdl专用这个编程能帮你学习到老-it s very good!when you download this one ,it s good for your study
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:622
    • 提供者:理解恶化
  1. synth_fft

    0下载:
  2. 用VHDL语言实现rom存储,可以选择不同的存储空间,有多种控制信号-Rom storage using VHDL language, you can choose a different storage space, there are several control signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:56165
    • 提供者:Grace
  1. Group27_lab5

    0下载:
  2. VHDL的基本门,ram,rom等的实现-VHDL basic door, ram, rom, etc. to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:427035
    • 提供者:都是
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com