CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl code

搜索资源列表

  1. coeff_rom_2_5

    0下载:
  2. FIR filter basic verilog code for implementation-FIR filter basic verilog code for implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1586
    • 提供者:surya
  1. rmfilter

    0下载:
  2. 低通滤波器在QUARTUS7.0开发环境下的文本与框图结合的实现方法的源代码-Low-pass filter QUARTUS7.0 development environment in the text and diagram combination of methods to achieve source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10082
    • 提供者:Rebecca
  1. ldpcverilog

    0下载:
  2. verilog编写的ldpc编码的源代码 -ldpc prepared verilog source code
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:9093
    • 提供者:paul
  1. svc_timer33ms

    0下载:
  2. Verilog 下脉冲发生器的源代码,可用于模拟三相交流电过零点,主要用于调试一些类似SVC(无功补偿)控制器的一些算法-Pulse generator under the Verilog source code, can be used to simulate three-phase alternating current zero-crossing point, mainly for debugging similar SVC (reactive power compensation) co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:763523
    • 提供者:huangyongbing
  1. FSK_modulation_and_demodulation

    1下载:
  2. 模拟数字通信通道,将离散数据利用奇偶效验码编码,FSK调制后,发送,接收端解调解码后还原-Analog-to-digital communication channel, the use of discrete data to be well-tested code parity coding, FSK modulation, the transmission, the receiving end to restore the decoded demodulation
  3. 所属分类:Communication-Mobile

    • 发布日期:2014-12-14
    • 文件大小:252565
    • 提供者:Andy Hu
  1. avr_core2

    0下载:
  2. avr core porocesssor vhdl source code
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:62239
    • 提供者:ARS
  1. rs_encode

    1下载:
  2. 这是用verilog编写的RS(204,188)代码,适用于数字电视的BCH编码过程。-This is the verilog prepared using RS (204,188) code, the application of digital television in the course of the BCH code.
  3. 所属分类:Streaming_Mpeg4

    • 发布日期:2017-04-01
    • 文件大小:1552
    • 提供者:蕊宫獍雪
  1. 55593379usb(FPGA)

    0下载:
  2. this a vhdl code for a bus-this is a vhdl code for a bus
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:140484
    • 提供者:siluyuan
  1. USB_code

    0下载:
  2. this a vhdl code for usb-this is a vhdl code for usb
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:140356
    • 提供者:siluyuan
  1. ebook_USB2.0_intel_tranceiver

    0下载:
  2. High volume USB 2.0 devices will be designed using ASIC technology with embedded USB 2.0 support. For full-speed USB devices the operating frequency was low enough to allow data recovery to be handled in a vendors VHDL code, with the ASIC vendor
  3. 所属分类:USB develop

    • 发布日期:2017-04-01
    • 文件大小:341842
    • 提供者:rex
  1. dlx

    0下载:
  2. DLX CPU VHDL CODE UNIVERSITY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:202982
    • 提供者:zahir Parkar
  1. PSK

    0下载:
  2. 关于PSK调制与解调的VHDL程序及仿真-PSK modulation and demodulation on the VHDL procedures and simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:72849
    • 提供者:陈文诚
  1. eth_interface

    0下载:
  2. 基于FPGA的以太网接口的实现。 使用方法: 1.拷贝到硬盘。 2.用ISE创建项目,分别加入各个代码文件,即可。-FPGA-based Ethernet interface. Use: 1. Copy to your hard disk. 2. With ISE to create items to the various code files, you can.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:124148
    • 提供者:田文军
  1. HammingDecoder

    0下载:
  2. -- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee U
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:3754
    • 提供者:djs
  1. rom

    1下载:
  2. 只读存储器VHDL代码,可运行实现,已用quartusII6.0验证-Read-only memory VHDL code can be run to achieve has been used to verify quartusII6.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1322
    • 提供者:干璐
  1. multiplier_8_bit

    0下载:
  2. This is 8bit multiplier VHDL code. It s consist of full adder, ripple carry adder(4bit, 8bit) multiplier 8bit, and test bench file. This is a unsigned type.-This is 8bit multiplier VHDL code. It s consist of full adder, ripple carry adder(4bit, 8bit)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3494
    • 提供者:KC.Park
  1. filter_final

    0下载:
  2. compiled vhdl code for fir filter
  3. 所属分类:Project Design

    • 发布日期:2017-05-07
    • 文件大小:1210472
    • 提供者:surya
  1. cordic

    0下载:
  2. COriac Algorithm code
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:119758
    • 提供者:kani
  1. SEG7_LUT_8_0

    0下载:
  2. DE2开发平台7段显示VHDL代码,自己针对vilorg翻译成VHDL代码-DE2 Development Platform 7 show the VHDL code for vilorg translated into their own VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:657
    • 提供者:siubr
  1. crcsend

    0下载:
  2. 用vhdl代码实现循环冗余检验,CRC即Cycic Redundancy Check-Vhdl code used to achieve the cycle redundancy check, CRC that Cycic Redundancy Check
  3. 所属分类:source in ebook

    • 发布日期:2017-04-01
    • 文件大小:266124
    • 提供者:songbinghui
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 50 »
搜珍网 www.dssz.com