CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl dds

搜索资源列表

  1. EDA_project

    0下载:
  2. 基于Verilog和VHDL的DDS程序 基于VHDL的8位十进制频率计 -Verilog and VHDL based on the DDS process VHDL-based 8-bit decimal Cymometer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2382105
    • 提供者:李建兵
  1. dds2

    0下载:
  2. 同样逻辑分析仪中部分硬件描述语言VHDL做的DDS模块,-The same part of the logic analyzer in VHDL hardware descr iption language modules do DDS,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:729
    • 提供者:zengyong
  1. dds_first

    0下载:
  2. 用vhdl语言,通过加法器和寄存器实现fpga的dds功能-Using vhdl language, and register through the adder to achieve the fpga functional dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:475276
    • 提供者:邢旭
  1. ddsm

    0下载:
  2. 用vhdl实现dds功能的程序试一试看看是不适合你!-Dds feature using vhdl program to try to achieve a look is not for you!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:761
    • 提供者:maxmilian
  1. !DDS_vhdl

    0下载:
  2. 采用DDS(直接数字频率合成)方法,用VHDL编写,源程序-Using DDS (direct digital frequency synthesis) method, using VHDL written source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:108704
    • 提供者:王岩嵩
  1. DFF_BDF

    0下载:
  2. 利用VHDl语言实现的DDS正弦信号的输出-dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:190139
    • 提供者:前沿部
  1. acum_hdl

    0下载:
  2. phase accumolator in vhdl & test bench for it for dds-phase accumolator in vhdl & test bench for it for dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:3362
    • 提供者:mina
  1. DDSreport

    0下载:
  2. 使用VHDL语言完成DDS的详细设计,可以作为课程设计使用-Using the VHDL language to complete the detailed design of DDS can be used as a curriculum design
  3. 所属分类:Project Design

    • 发布日期:2017-04-06
    • 文件大小:416801
    • 提供者:tuxiang
  1. ddsgt

    0下载:
  2. 采用DDS技术,在Altera 8.1软件下,利用VHDL语言编程,从而产生正弦波信号,经调试,文件正确可用-Using DDS technology, Altera 8.1 software, using the VHDL language programming, resulting in sine wave signal, after debugging, documentation is available right
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:241926
    • 提供者:郭岩伟
  1. MD_DDS_10bit_VHDL

    0下载:
  2. 十位DA输出的DDS,用VHDL实现,环境:ISE 8.1,仿真软件:ModelSim_SE_6.1b-10 DA output of the DDS, with the VHDL implementation, environment: ISE 8.1, simulation software: ModelSim_SE_6.1b
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1021193
    • 提供者:爬树跑
  1. renyiboxing

    0下载:
  2. 信号发生器是一种常用的仪器,能够实现各种波形,不同频率的输出,电子测试系统的重要部件。本研究 的数字信号发生器足基于直接数字合成即DDS技术设计的,采用VHDL与C语言相结合的方法,通过查找存储 于ROM查找表中的各种标准波形数据,产牛频率Hf调并且高精度的正弦波、方波、锯齿波等常用信号,并且町 以通过修改表中的数据,实现任意信号发生器-Signal generator is a commonly used instrument to achieve a variety of wav
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:268299
    • 提供者:姚木
  1. sin5

    0下载:
  2. DDS FPGA 正弦波 VHDL语言-DDS FPGA 正弦波 VHDL语言
  3. 所属分类:Browser Plugins

    • 发布日期:2017-03-27
    • 文件大小:132909
    • 提供者:王盛力
  1. sin7

    0下载:
  2. DDS FPGA 正弦波 VHDL语言-DDS FPGA 正弦波 VHDL语言
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-04
    • 文件大小:585901
    • 提供者:王盛力
  1. dds_vhdl

    0下载:
  2. 直接数字综合器DDS的设计(VHDL语言)-design of DDS(language of VHDL)
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:612
    • 提供者:雁游天空
  1. dds_vhdl

    0下载:
  2. 该源码为VHDL语言编写DDS生产正弦波信号源码-The DDS source for the VHDL language production of sine wave signal source
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:372206
    • 提供者:zhouchao
  1. DDS_100325(13)_success

    0下载:
  2. QUARTUS II环境下VHDL语言编写DDS程序,双数字信号输出,一为正弦波幅值输出,一正弦波差值信号。时钟2^21HZ,带24bits频率控制字。-QUARTUS II environment, VHDL language DDS program, two digital signal output, an amplitude for the sine wave output, a sine wave difference signal. Clock 2 ^ 21HZ, with 24bi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1087912
    • 提供者:骆东君
  1. dds_dds

    0下载:
  2. DDS信号发生器,用VHDL写的,编译用qartus通过,测试是好的,好东西大家一起分享啊,希望能对大家有帮助啊-DDS_DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:480251
    • 提供者:上善若水
  1. DDS_TEST

    0下载:
  2. 此文件为DDS的测试文件,用VHDL语言编写。可供参考。-DDS test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:442566
    • 提供者:daisy
  1. ISE_lab18

    0下载:
  2. 基于VHDL语言,通过调用Xlinx生产的FPGA开发板上的DDS核,产生正弦信号。并可进行仿真观察。-Based on VHDL language, by calling Xlinx FPGA development board produced by the nuclear DDS, sine signal. The simulation can be observed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4895980
    • 提供者:大机子
  1. FREQENCYrar

    0下载:
  2. 这是用DDS原理实现的频率计,能够测量1到999999HZ的待测信号,包括VHDL源程序以及成型的BDF文件。-This is achieved with a frequency meter DDS principle, can measure a signal under test to 999999HZ, including VHDL source code, as well as forming the BDF file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1400455
    • 提供者:WANGLINGLING
« 1 2 ... 9 10 11 12 13 1415 16 17 »
搜珍网 www.dssz.com