CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl encoder

搜索资源列表

  1. Hamming

    0下载:
  2. Hamming Encoder of 7bit in VHDL, Where it consists 3 parity bits and 4 data bits, then after it is being passed to decoder where it corrects, if their is any error and gives desired data as output. -Hamming Encoder of 7bit in VHDL, Where it consist
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:16594
    • 提供者:phani
  1. FPGA_Project

    0下载:
  2. To design fixed point to floating point encoder and experiment with simulation, synthesis and implementation features of the Xilinx Project navigator. Specifically, the objectives of this lab are: 1. To try out basic building blocks of VHDL beh
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:17046
    • 提供者:ali
  1. BCD-youxianbianma

    0下载:
  2. 优先编码器,通过VHDL语言实现BCD优先编码的功能-Priority encoder BCD priority encoder function through VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:693
    • 提供者:victor
  1. HD6409_encode

    0下载:
  2. 基于VHDL语言的HD4069曼彻斯特编码器实现-Based on VHDL HD4069 Manchester encoder implementation
  3. 所属分类:MPI

    • 发布日期:2017-12-02
    • 文件大小:171718
    • 提供者:周彦宏
  1. BCH

    0下载:
  2. 此代码用VHDL实现BCH(57,44,6)编码器,属于信道编码的内容,此外采用Miggitt译码器实现译码功能。-This code BCH (57,44,6) encoder using VHDL, is a channel coding content, the addition Miggitt decoder decoding function.
  3. 所属分类:Compiler program

    • 发布日期:2017-11-15
    • 文件大小:1776
    • 提供者:韩晶晶
  1. Experiment

    0下载:
  2. 可编程逻辑器件VHDL实现的3线-8线译码器-VHDL 3-8 priority encoder decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:55051
    • 提供者:alex
  1. FSK

    0下载:
  2. vhdl编写的FSK编码器与解码器,绝对可用,拿去用吧。 -the FSK encoder and decoder VHDL written, absolutely free, and take with you.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:508168
    • 提供者:陈星雄
  1. 82be270ea751

    1下载:
  2. RS(255,239)编码器的VHDL语言源代码,希望能对大家有一定帮助-the code of the encoder of rs(255,239),hope can help you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:4047
    • 提供者:周达
  1. EDA

    0下载:
  2. VHDL 交通灯 奇偶校验器 编码器,教学所用-VHDL traffic lights parity encoder, teaching
  3. 所属分类:Other systems

    • 发布日期:2017-12-04
    • 文件大小:2794
    • 提供者:乔玛丽
  1. bcd_adder

    0下载:
  2. 用vhdl实现的bcd编码器,实现bcd编码,实验程序,已经调试成功-To bcd encoder vhdl to achieve the bcd coding, experimental procedures, debugging has been successful
  3. 所属分类:assembly language

    • 发布日期:2017-11-18
    • 文件大小:28747
    • 提供者:zhangmin
  1. t_encoder

    0下载:
  2. encoder file with VHDL code, encoder file with VHDL code e ncoder file with VHDL code-encoder file with VHDL code, encoder file with VHDL code encoder file with VHDL code encoder file with VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:5896
    • 提供者:anil
  1. 8b10b_encdec_latest.tar

    0下载:
  2. 8B10B encoder VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-06-16
    • 文件大小:135168
    • 提供者:swaroop
  1. oc_mkjpeg_rev61_subsampling

    0下载:
  2. JPEG encoder USING vhdl CODE TO RUN FOR CHECKING THE IMAGE COMPRESSION
  3. 所属分类:LabView

  1. recursiveconvolutional

    0下载:
  2. This simple vhdl program of RSC encoder-This is simple vhdl program of RSC encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4833828
    • 提供者:arulananthan
  1. coder83

    0下载:
  2. 基于VHDL的8-3优先编码器模块,din0-din7八位二进制输入编码后输出三位编码结果。采用正逻辑设计,高电平有效。-8-3 priority encoder module, based on VHDL din0- din7 eight binary input encoded output three coding results. Adopt positive logic design, high level effectively.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:328442
    • 提供者:杜雨峰
  1. t2_manchester_coder

    0下载:
  2. Manchester 编码器的Verilog与VHDL实现,并分别采用moore和mealy机对其进行描述,比较了两种实现方法的不同。并且每种情况都给出了测试脚本,希望对您有用。-Manchester encoder Verilog and VHDL realization and moore and mealy machines were used to describe it, compare the two implementations of different methods. And
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:155971
    • 提供者:宋国志
  1. viterbi_1

    0下载:
  2. low power convolution encoder and Viterbi decoder using vhdl code
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:184577
    • 提供者:Abhi
  1. 卷积码、CRC

    0下载:
  2. 卷积码的C源程序,包括编码器和译码器。还有一个是循环荣誉校验的vhdl]源码。-convolution of C source code, including the encoder and decoder. There is a cycle of the calibration honor VHDL] source.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-15
    • 文件大小:6922
    • 提供者:signific
  1. rs(63-45)

    0下载:
  2. 用VHDL实现的RS(63,45)编码器,已经用ISE和questasim编译仿真通过。对45个信息位进行编码。-VHDL implementation of the RS (63,45) encoder has been compiled with the ISE and questasim through simulation. Of 45 information bits are encoded.
  3. 所属分类:Compiler program

    • 发布日期:2017-04-07
    • 文件大小:15440
    • 提供者:wzz
  1. arm_FPGA

    0下载:
  2. 步进电机、直流电机PWM控制、伺服电机编码器解码vhdl程序-Stepper motor, PWM DC motor control, servo motor encoder decoder VHDL program
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:25722
    • 提供者:刘文
« 1 2 ... 6 7 8 9 10 1112 »
搜珍网 www.dssz.com