CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl encoder

搜索资源列表

  1. shifter

    0下载:
  2. 用vhdl语言采用时序电路(移位寄存器)的方式实现(7,4)循环码编码器-Vhdl language used by the timing circuit (shift register) way to achieve (7,4) cyclic code encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:880
    • 提供者:Dong Yitian
  1. HDB3

    0下载:
  2. 大三学生完成 基于VHDL的HDB3编码器设计-HDB3 encoder juniors complete VHDL-based design
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:243701
    • 提供者:liaoliao
  1. mux21a

    0下载:
  2. 基于FPGA的用VHdl硬件语言实现的双二选一编码器。-Choose an encoder FPGA-based hardware with VHdl language of bis.
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:50406
    • 提供者:赵子龙
  1. HDLC-Controller---Documentation

    0下载:
  2. hdlc 编解码 vhdl fpga 说明文档-hdlc encoder decoder vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3644053
    • 提供者:Ljm
  1. coder63

    0下载:
  2. 基于FPGA的6-3编码器vhdl代码,数码管显示,模块化编程-6-3 encoder based on FPGA VHDL code, and the digital tube display, modular programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:331769
    • 提供者:黄文举
  1. examples

    0下载:
  2. Code on Debouncer, ripple carry adder, Sequence detector, huffmann encoder and some more examples in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:6737186
    • 提供者:SUDHIR
  1. jpegencoder

    0下载:
  2. jpeg encoder in vhdl including modules MAC, Wavelet encoder, filter bank, image to text converter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2817
    • 提供者:SUDHIR
  1. count_nixie

    0下载:
  2. 计数器加数码管译码,计数功能然后在数码管上显示,使用VHDL写成-counter encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:361785
    • 提供者:hp
  1. Manchester

    0下载:
  2. 曼彻斯特总线信号编码解码的VHDL程序应用于通讯技术-Manchester bus encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:202125
    • 提供者:冰海情
  1. 0下载:
  2. encoder section in vhdl language
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:930866
    • 提供者:manikandan
  1. 8b10b_encdec_latest.tar

    0下载:
  2. this a vhdl code to simulate 8b/10b encoder and decoder with a test bench-this is a vhdl code to simulate 8b/10b encoder and decoder with a test bench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:134907
    • 提供者:zaki-sammani
  1. jpeg

    0下载:
  2. Its about JPEG encoder in VHDL language
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:59477
    • 提供者:rohit
  1. hardh264

    0下载:
  2. h.264 encoder using vhdl
  3. 所属分类:Other systems

    • 发布日期:2017-05-05
    • 文件大小:393292
    • 提供者:wangpan
  1. intra4x4

    0下载:
  2. Intra4x4 in VHDL for H.264 encoder. this module work with 3 intra prediction mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1881864
    • 提供者:Roohi
  1. conv

    0下载:
  2. Conv Encoder for VHDL Vivado
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:15605
    • 提供者:Vaaiib
  1. Example2

    0下载:
  2. 基于 VHDL 格雷码编码器设计 格雷( Gray)码是一种可靠性编码,在数字系统中有着广泛的应用-Based VHDL design Gray Gray code encoder (Gray) code is a reliability of the encoder, it has been widely used in digital systems
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:2918
    • 提供者:贺泽伟
  1. h265enc_v1.0

    2下载:
  2. 用vhdl语言编写的h.265编码器,可用于xilinx或altera的fpga(h.265 encoder written by vhdl. It can be download to xilinx or altera's fpga)
  3. 所属分类:流媒体/Mpeg4/MP4

    • 发布日期:2017-12-13
    • 文件大小:16974396
    • 提供者:kitty_liu
  1. kebenchengxu

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:girl_lily
« 1 2 ... 7 8 9 10 11 12»
搜珍网 www.dssz.com