CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl rom

搜索资源列表

  1. EXP12_VGA_img

    0下载:
  2. vga显示图片 读ROM中的数据 通过vga显示在显示器上-vga_color
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:39342
    • 提供者:杨兔艳
  1. interlace

    0下载:
  2. 根据MATLAB中的伪随机交织器产生的交织图案初始化到ROM中,从ROM中读取交织图案对输入数据进行交织。同时也可根据解交织图案进行解交织,同样的算法。-In accordance with MATLAB generated pseudo-random interleaver initialization pattern woven into the ROM, read from the ROM interwoven interwoven pattern of input data. Can a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1216
    • 提供者:源天
  1. ROM-FOFO

    0下载:
  2. ROM,FIFO,寄存器等各种存储器VHDL语言实现,已经用FPGA下载实现了-ROM, FIFO, registers and other memory VHDL language has been implemented with the FPGA Download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4387
    • 提供者:张新
  1. ROM

    0下载:
  2. 用组合电路实现的ROM功能模块,用VHDL语言编写-The ROM circuit with a combination of functional modules, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:178265
    • 提供者:王建伟
  1. rom

    0下载:
  2. 该源码是基于查找表的VHDL代码实现DDS-The source code is based on the VHDL code look-up table DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:651385
    • 提供者:allen-haha
  1. ROM_sin

    0下载:
  2. The implementation of the functions SIN in ROM descr iptions on VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3192
    • 提供者:Sasha
  1. module-Temperature

    0下载:
  2. DS18B20引脚功能 GND地,DQ数据总线,VDD电源电压 18B20共有三种形式的存储器资源,它们分别是: ROM 只读存储器,用于存放DS18B20ID编码,其前八位是单线系列编码,后面48位是芯片唯一的序列号,最后8位是以上56位的CRC码。DS18B20共64位ROM RAM 数据暂存器,数据掉电后丢失,共9个字节,每个字节8位,第1、2个字节是温度转换后的数据值信息,EEPROM 非易失性记忆体,用于存放长期需要保存的数据,上下限温度报警值和校验数据
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:9244
    • 提供者:袁亚楠
  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. vhdl

    0下载:
  2. VHDL实验报告 基于ROM的正弦波发生器的设计-VHDL experiment reports the ROM-based sine wave generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2133
    • 提供者:天行者
  1. CPU-with-VHDL-16-32

    0下载:
  2. 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1651752
    • 提供者:
  1. VHDL-memory

    0下载:
  2. 存储器的VHDL描述,包括ROM,RAM,FIFO,stack等多种类型-design of memory by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:34179
    • 提供者:zmz
  1. VHDL-based-music-player-design

    0下载:
  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4927169
    • 提供者:刘志
  1. ROM

    0下载:
  2. vhdl中的ROM程序,包括matlab表格程序,调用FPGA里的RAM实现ROM功能-The ROM vhdl procedures, including matlab spreadsheet program, call the FPGA to achieve ROM functions in the RAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1959344
    • 提供者:周杨鹏
  1. CD-ROM-code-(vhdl)

    0下载:
  2. 数字信号处理的fpga实现 第2版-光盘代码(vhdl)-Fpga implementation of digital signal processing 2nd Edition- CD-ROM code (vhdl)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:267410
    • 提供者:周诚
  1. rom

    0下载:
  2. vhdl veri log rom file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:616
    • 提供者:adze
  1. ROM-PLA-and-VHDL-examples

    0下载:
  2. ROM PLA and VHDL examples
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:380707
    • 提供者:nk7
  1. VHDL

    0下载:
  2. 将音乐数据存储到LPM-ROM,就达到了以纯硬件的手段来实现乐曲的演奏效果。只要修改LPM-ROM所存储的音乐数据,将其换成其他乐曲的音乐数据,再重新定制LPM-ROM,连接到程序中就可以实现其它乐曲的演奏。-oring music data to LPM-ROM, reached with pure hardware means to achieve the effect of music playing. Just modify LPM-ROM music stored data, whic
  3. 所属分类:ELanguage

    • 发布日期:2017-05-03
    • 文件大小:986957
    • 提供者:李永科
  1. blockRomTest

    0下载:
  2. it is a rom with vhdl
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:3885056
    • 提供者:farzam
  1. TesteROM

    0下载:
  2. ROM Test - VHDL Project
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:403456
    • 提供者:HotavioH
  1. 328 ROM module

    0下载:
  2. 32 byte ro0n moudule implementation in vhdl code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:allia
« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com