CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - xilinx FPGA

搜索资源列表

  1. ISE_lab18

    0下载:
  2. FPGA experimental program xilinx company s previous software -FPGA experimental program xilinx company s previous software
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:4896690
    • 提供者:
  1. CBPFPGA

    0下载:
  2. 很好的FPGA学习资料,不要错过,xilinx学习经典-it is good to study the FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:1360259
    • 提供者:liuhai
  1. music

    0下载:
  2. 利用FPGA模拟弹钢琴的Verilog代码。在Xilinx ISE 14.3 编译通过-Using FPGA Verilog code simulation play the piano. Compiled by Xilinx ISE 14.3
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-23
    • 文件大小:1278568
    • 提供者:侯松岩
  1. EMAC6

    2下载:
  2. verilog实现的FPGA三态以太网链路层通信代码,里面有状态机,并按各个模块的功能分了文件夹,还有说明文档,自定义帧的产生和接收,开发环境为Xilinx ISE,测试无误。-verilog realization FPGA Tri-Mode Ethernet link layer communication code, which the state machine, according to the function of each module sub folder, as well a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-20
    • 文件大小:3602432
    • 提供者:trygov
  1. 34

    0下载:
  2. TD-SCDMA的长期演进TD-LTE。为减轻TD-LTE综测仪开发中调试的复杂性,通过研究分析TD-LTE系统原语,提出了一种基于DSP TMS320C6455和FPGA 5vsx95tff1136(Xilinx)芯片的原语追踪平台。-Long-term evolution of TD-SCDMA, TD-LTE. TD-LTE system primitives through research and analysis in order to reduce the complexity o
  3. 所属分类:3G develop

    • 发布日期:2017-11-17
    • 文件大小:4308
    • 提供者:duanyuting
  1. paixu

    0下载:
  2. TD-SCDMA的长期演进TD-LTE。为减轻TD-LTE综测仪开发中调试的复杂性,通过研究分析TD-LTE系统原语,提出了一种基于DSP TMS320C6455和FPGA 5vsx95tff1136(Xilinx)芯片的原语追踪平台。-Long-term evolution of TD-SCDMA, TD-LTE. TD-LTE system primitives through research and analysis in order to reduce the complexity o
  3. 所属分类:Exploit

    • 发布日期:2017-11-20
    • 文件大小:436940
    • 提供者:duanyuting
  1. maopao

    0下载:
  2. TD-SCDMA的长期演进TD-LTE。为减轻TD-LTE综测仪开发中调试的复杂性,通过研究分析TD-LTE系统原语,提出了一种基于DSP TMS320C6455和FPGA 5vsx95tff1136(Xilinx)芯片的原语追踪平台。-Long-term evolution of TD-SCDMA, TD-LTE. TD-LTE system primitives through research and analysis in order to reduce the complexity o
  3. 所属分类:3G develop

    • 发布日期:2017-12-05
    • 文件大小:4718
    • 提供者:duanyuting
  1. paixufahanshu

    0下载:
  2. TD-SCDMA的长期演进TD-LTE。为减轻TD-LTE综测仪开发中调试的复杂性,通过研究分析TD-LTE系统原语,提出了一种基于DSP TMS320C6455和FPGA 5vsx95tff1136(Xilinx)芯片的原语追踪平台。-Long-term evolution of TD-SCDMA, TD-LTE. TD-LTE system primitives through research and analysis in order to reduce the complexity o
  3. 所属分类:Com Port

    • 发布日期:2017-11-24
    • 文件大小:226393
    • 提供者:duanyuting
  1. sushu1

    0下载:
  2. TD-SCDMA的长期演进TD-LTE。为减轻TD-LTE综测仪开发中调试的复杂性,通过研究分析TD-LTE系统原语,提出了一种基于DSP TMS320C6455和FPGA 5vsx95tff1136(Xilinx)芯片的原语追踪平台。-Long-term evolution of TD-SCDMA, TD-LTE. TD-LTE system primitives through research and analysis in order to reduce the complexity o
  3. 所属分类:Parallel Port

    • 发布日期:2017-11-12
    • 文件大小:255284
    • 提供者:duanyuting
  1. ltc2614_spi_cosx32768

    0下载:
  2. 基于xilinx spartan 3e 开发板的正弦波信号发生,通过fpga查找ROM正弦信号表,将数字信号通过spi接口写入开发板上的12位DA芯片ITC2614。通过DA转换产生正弦波。ROM深度为32768,表示一个正弦周期最多可以有32768个点。可以通过修改相位累积值和ROM表来设定输出正弦波的频率。程序本人编写和上板实测。-Sine wave signal occurred on xilinx spartan 3e development board fpga Find ROM si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:1512952
    • 提供者:李华
  1. Xilinx_Workshop-Design_Primer

    0下载:
  2. Xilinx 大学计划Professor Workshops系列课程-Xilinx Workshop FPGA Digital System Design Primer one
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:5782037
    • 提供者:zhibo_zhu
  1. humanpong

    0下载:
  2. 我们的目标是建立一个人力乒乓球比赛的FPGA板(Xilinx公司的Virtex-II Pro的XC2VP30与的Digilent公司VDEC1的视频解码器)。-Our group objective is to build a Human Pong game on an FPGA board (Xilinx Virtex-II Pro XC2VP30 with the Digilent VDEC1 Video Decoder).
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:2378701
    • 提供者:张洋洋
  1. projet

    0下载:
  2. Nous nous proposons de construire un système d’acquisition à partir du « SPARTAN 3A FPGA starter kit board » de XILINX et des périphériques de cette carte dans le cadre du TP « acquisition de données » . Le kit comprend un ADC deux vo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:369225
    • 提供者:ELRIFAI
  1. uart_Rx

    0下载:
  2. 在Xilinx的SP605开发板上实现了FPGA接收数据的串口通讯,接收数据是Led会亮,没有接收数据的时候灯是灭的。-Xilinx SP605 development board FPGA receives serial data communication receive data Led lights not receive data when the lights are off.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:255669
    • 提供者:曹荣丽
  1. OZ745

    0下载:
  2. 4k*2K zynq The OZ745 is a video development platform based around the Xilinx® Zynq-7045 FPGA. The kit includes all the basic components of hardware, design tools, IP, pre-verified reference designs and Board Support Package to rapidly devel
  3. 所属分类:Project Design

    • 发布日期:2017-11-14
    • 文件大小:685056
    • 提供者:iyandy
  1. VGA---Spartan-3

    0下载:
  2. VGA - FPGA xilinx -VGA - FPGA xilinx ----------------
  3. 所属分类:Project Design

    • 发布日期:2017-11-08
    • 文件大小:6615
    • 提供者:Trong
  1. mult32

    0下载:
  2. 4-cycle 32bit-Multiplier that can be work in FPGA. Correct work is confirmed by SP605 FPGA from Xilinx.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:1272
    • 提供者:Masayuki Kimura
  1. pwm_lights

    0下载:
  2. 这是一个利用脉冲信号点亮LED灯的VHDL代码示例,可以用在xilinx的FPGA上-VHDL code example, a pulse signal lights LED lights can be used in the FPGA on xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:4455
    • 提供者:李宁
  1. VHDL_uart

    0下载:
  2. 用xilinx的FPGA-spartan3E实现uart,固定波特率9600,偶校验,系统时钟50MHz,能够实现将从串口调试助手发送到FPGA的数据重新发回串口调试助手-using xilinx s FPGA-spartan3E to implement uart with a baudrate of 9600, even parity check. The system frequency is 50MHz.It can turn the data from serial assistant
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:731167
    • 提供者:john
  1. isen

    0下载:
  2. 基于FPGA设计工具Xilinx ISE 编写的程序代码 包含有计数器,状态转移码,交通灯,时序约束等程序-Program code written based on FPGA design tools Xilinx ISE includes procedures such as counters, state transition code, traffic lights, timing constraints
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:9631880
    • 提供者:chenjingjie
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com