CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 乐曲

搜索资源列表

  1. music

    0下载:
  2. 微机原理课程设计:乐曲演奏程序设计与实现(详细报告)-Computer Principles of curriculum design: music concert program design and implementation (detailed report)
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:21370
    • 提供者:nm
  1. music

    0下载:
  2. 是用VHDL语言编写的乐曲演奏程序,详细的写了各个模块的子程序-VHDL language is the music playing program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:6425
    • 提供者:周琳琳
  1. digitalpiano

    0下载:
  2. 利用汇编语言设计一个模拟钢琴演奏程序。在显示器上显示出钢琴的键盘,以计算机键盘的相应键模拟钢琴键盘进行乐曲的演奏。在PC机键盘上按键,屏幕上对应的钢琴键出现跳动,并发出相应音符的声音-The use of assembly language program to design a simulation of piano playing. On the display shows piano keyboard, computer keyboard keys corresponding analog
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:3220
    • 提供者:周凯
  1. Archive

    0下载:
  2. 利用定时器产生乐曲
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-05-08
    • 文件大小:1974377
    • 提供者:bambooran
  1. songer

    0下载:
  2. 在FPGA芯片上实现乐曲发声的完整程序设计,对初学者很有用-In the FPGA chip to achieve a complete vocal music programming, is useful for beginners
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:1275
    • 提供者:chenjianfeng
  1. MUSIC

    0下载:
  2. 16*16点阵循环显示8个汉字,有背景MIDI音乐输出,有一个键盘控制音乐的选择,还附带乐曲弹奏功能。有比较详细的注解。-16* 16 dot matrix display cycle of 8 Chinese characters, with background MIDI music output, there is a keyboard to control the choice of music, but also with music playing capabilities. Mor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1504576
    • 提供者:叶华
  1. LANHUACAI

    0下载:
  2. 用汇编语言编写的兰花草音乐曲,实验时用51单片机一片,蜂鸣器一个,LM386一片,是学习51单片机典型实例,特共享给大家.-Written in assembly language orchids music music, experiments with a microcomputer 51, a buzzer, LM386 1, 51 MCU typical examples of learning, special to share to others.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:601
    • 提供者:tiansqin
  1. dianziqin

    0下载:
  2. 1.电子琴功能 用实验板上K1~K7作为电子琴的7个按键,每个按键对应一个音符要求当按下其中一个按键,就通过P2.5口输出对应频率信号使蜂鸣器发出特定音符声音。 2.乐曲演奏 若按下K8键,完成自动弹奏“送别”歌曲。 -1. Organ function of the experimental board K1 ~ K7 as the organ of the seven buttons, each button corresponds to a note of whi
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1413
    • 提供者:陈伟玮
  1. songxiugai

    0下载:
  2. 乐曲演奏电路,能演奏歌曲,同时在数码管上显示演奏的乐曲音符的数字。-Music concert circuit, can play songs at the same time in the digital tube displays the number of notes played music.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:409400
    • 提供者:方周
  1. play_music_without_CPU_or_MCU

    0下载:
  2. 该程序以纯硬件实现乐曲演奏而非CPU或者MCU。其中间省略的音乐的中间的音符,读者可以自己修改添加补充-A pure hardware implementation of the program to play music instead of CPU, or MCU. In which the music is omitted in the middle between the notes, readers can add their own amendments to add
  3. 所属分类:source in ebook

    • 发布日期:2017-04-08
    • 文件大小:2962
    • 提供者:马思土
  1. Debug

    0下载:
  2. 汇编语言之乐曲程序的设计与实现debug-Assembly language of the music program design and implementation of debug
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:12223
    • 提供者:刘丹
  1. songer

    0下载:
  2. 根据给出的乘法器逻辑原理图及其各模块的VHDL描述,学习利用数控分频器设计硬件乐曲演奏电路-According to the logic given multiplier module schematic and its VHDL descr iption, learning to use the numerical design of the hardware musical performances divider circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:11761
    • 提供者:chen
  1. dianziqin

    0下载:
  2. 汇编语言实现电子琴功能: a.选择利用实验仪扬声器/PC 机内8253 驱动内扬声器与键盘1,2,3,4,5,6,7,8 设计一个电子琴通过按数字键响相应的乐符1,2,3,4,5,6,7,ⅰ,使能演奏简单的乐曲。按1~8数字键时同时点亮相应的8个LED 灯,使具有声、光效果; b.利用实验仪DAC 和喇叭,软件控制上述功能.。选择机内还是实验仪由开关设置,可随时切换; c.在8×8 点阵上表示音阶高低; d.精确显示从第一个键按下后的时间。 并进一步完成了能准确记录开始演奏到
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-06
    • 文件大小:2550
    • 提供者:杨晓岚
  1. 05KBMidi

    0下载:
  2. 用c语言写的一个windows应用程序,可以实现简单的电子琴功能,当然要有音乐基础才弹得出好听的乐曲-Using c language written in a windows application that can achieve a simple organ function, of course, music must be the basis of pleasant music until shells come
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-02
    • 文件大小:984679
    • 提供者:明定
  1. liangzhu

    0下载:
  2. 梁祝乐曲播放VHDL源代码,可以演奏乐曲,在FPGA中可以实现其功能。-Lovers music player VHDL source code, you can play music, can be achieved in the FPGA in its functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:939
    • 提供者:王凯
  1. dianziqin

    0下载:
  2. 本次课程实践是利用keil uvision3软件和ISIS7 professional软件设计一个基于LCP2138芯片的简易电子琴仿真,能够实现16个琴键,具有电子琴功能,能选择和循环播放3首乐曲 ,音量可调这三个基本功能。-The course practice is to use keil uvision3 ISIS7 professional software design software and a simple keyboard-based LCP2138 chip simulat
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-22
    • 文件大小:145901
    • 提供者:tan
  1. music

    0下载:
  2. 借助于功能强大的EDA工具和硬件描述语言,以纯硬件完成乐曲演奏电路。程序中的歌曲是同一首歌。-With powerful EDA tools and hardware descr iption language, in order to complete the music play pure hardware circuitry. Program in the song is the same song.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1410
    • 提供者:杜伟南
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. vhdl

    0下载:
  2. 关羽用VHDL语言设计乐曲硬件演奏电路以及研究方案-Guan Yu using VHDL, circuit design, and music playing hardware, research programs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:236321
    • 提供者:丁浩
  1. Musicplayeddesign

    0下载:
  2. 音乐演奏程序设计,能实现用电脑声卡产生乐曲,并有功能界面!-Music programming, to achieve with computer generated music sound card, and a functional interface!
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:2272
    • 提供者:王庆飞
« 1 2 3 4 5 6 78 9 10 11 12 ... 16 »
搜珍网 www.dssz.com