CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 乐曲

搜索资源列表

  1. playmusic

    0下载:
  2. 用VHDL实现的一个乐曲播放程序,可以播放10首歌曲-a programme of VHDL ,which can play more than 10 songs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:141859
    • 提供者:hujintao
  1. musicdiv

    0下载:
  2. EP1S10S780C6开发板上实现选取6MHz为基准频率,演奏梁祝乐曲,使用Verilog HDL设计的源码.-EP1S10S780C6 selected to achieve the development board as the base frequency of 6MHz, playing music Butterfly Lovers, the use of Verilog HDL design source.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:654685
    • 提供者:压榨
  1. pianoASM

    0下载:
  2. 根据乐曲中不同的音符是由输出不同频率的声波而产生的原理,通过设置PC机中 8253定时器不同的计数值,可由扬声器发出不同的曲调。 以下是实现PC机作为一架可弹奏的钢琴演奏预定的曲调。程序中采用了INT 21H软中断调用了DOS的常用子程序。-According to different notes in music from the output generated sound waves of different frequencies principle, PC, in 8253 by se
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:2646
    • 提供者:李明洲
  1. HUIBIAN

    0下载:
  2. 1.采用位触发方式编写程序,使计算机发出音响并演奏乐曲(例如《两只老虎》等)。 进一步理解程序直接控制I/O方式和位触发方式控制声音的工作原理。 一首乐曲是由不同频率和节拍的音调组成,因此控制脉冲的频率和持续时间是编写乐曲程序的关键。根据已知的音符频率对照表,设置乐曲的频率表和节拍时间表; 编写程序并调试通过。 2.了解定时器的工作原理,掌握利用定时器产生声音的方法,使计算机演奏乐曲(例如《两只老虎》等)。编写程序并调试通过。-1. Write programs using di
  3. 所属分类:Compiler program

    • 发布日期:2017-04-10
    • 文件大小:1260454
    • 提供者:carponlee
  1. songbie

    0下载:
  2. 汇编语言《送别》的程序代码,可以播放送别的简单乐曲
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:727
    • 提供者:icooler
  1. keyboard_piano

    0下载:
  2. 键盘奏鸣曲软件,用键盘实现音节的发出,弹奏出乐曲-keyboard
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-05-18
    • 文件大小:4773474
    • 提供者:maggie
  1. music

    0下载:
  2. 利用I/O口产生一定频率的方波,驱动蜂鸣器,发出不同的音调,从而演奏乐曲-Using I/O ports have a certain frequency, square wave, driven buzzer, send a different tone to play music
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:274039
    • 提供者:wangyunqian
  1. 8086_MusicPlayer

    0下载:
  2. 80x86汇编编写的乐曲播放器,完整源代码+7个示例乐曲文件,乐曲文件组织成文本形式,需要自己组织。7段示例分别是:-80x86 music player written in assembly, all source code & 7 sample music files. music files are organized into text form.
  3. 所属分类:assembly language

    • 发布日期:2017-04-17
    • 文件大小:16340
    • 提供者:李鹏飞
  1. yuequyanzou

    0下载:
  2. 乐曲演奏电路,基于linux的设计,看看吧看看吧,看看吧-Music concert circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:246275
    • 提供者:fy
  1. twotigers

    0下载:
  2. 利用汇编程序在dos环境中,循环播放两只老虎这首歌的乐曲-Using assembler in the dos environment, loop music song two tigers
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:775
    • 提供者:麦克
  1. inc_0414

    0下载:
  2. 基于FPGA的乐曲发生器设计 -Design of FPGA-based music generator music generator design based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:390161
    • 提供者:jim
  1. playmusic_0414

    0下载:
  2. 基于FPGA的乐曲发生器设计 -Design of FPGA-based music generator music generator design based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:13519
    • 提供者:jim
  1. src_0414

    0下载:
  2. 基于FPGA的乐曲发生器设计 -Design of FPGA-based music generator music generator design based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4608794
    • 提供者:jim
  1. describe_0414

    0下载:
  2. 基于FPGA的乐曲发生器设计 -Design of FPGA-based music generator music generator design based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:527
    • 提供者:jim
  1. Music-----Digital-Thermometer

    0下载:
  2. 这是我和队友一起做的音乐数字温度计,该温度计出能够正常显示时间外,还能根据温度的变化而演奏不同的乐曲。 其中包括牧羊曲、铃儿响叮当、小酒窝三首曲子。 -This is my teammates to do the music and the digital thermometer, the thermometer outside that can display the time correctly, but also according to changes in temperature
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:128763
    • 提供者:张不凡
  1. DIGITAL_SONG

    0下载:
  2. 利用FPGA控制蜂鸣器频率进行乐曲播放的简易音乐播放器。-Buzzer frequency control using FPGA music playback simple music player.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:69406
    • 提供者:Him
  1. songer

    0下载:
  2. VHDL乐曲演奏电路 1.设计题目:乐曲演奏芯片设计 2.设计要求:至少三首乐曲,可独立播放,也可循环播放-VHDL play circuit music 1. Design topic: music playing chip design 2. The design requirements: at least three songs, can independence play, also can looping
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:745939
    • 提供者:张志鹏
  1. musical-generater

    0下载:
  2. 本实验报告为产生乐曲的EDA程序,只要找到相应的乐曲谱,就可以利用该报告中程序产生各种乐曲。另外,报告中含有详细的软件操作和对实验仿真结果的分析,相信对初学者帮助很大。-This study reports the EDA program to produce music, as long as the music to find the corresponding scores, you can use the report program generates all kinds of mus
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:68452
    • 提供者:xing
  1. Each-module-program

    1下载:
  2. 此程序为基于FPGA乐曲演奏电路设计的VHDL程序,可根据程序手动控制播放的音乐-This program tracks performance FPGA-based VHDL circuit design process, according to the manual control of the music program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1408
    • 提供者:赵沂林
  1. Using-buzzer-to-play-a-song

    1下载:
  2. Quick51 例程:利用蜂鸣器演奏乐曲-using buzzer to play songs
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:172390
    • 提供者:王晓钰
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 15 16 »
搜珍网 www.dssz.com