CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 二位全

搜索资源列表

  1. 1002016p_Sa

    0下载:
  2. 设计一个两位全加器,并用发光二极管显示结果。全加器的三个输入(二个数字输入,一个进位输入)用实验箱中W1,SW2,SW3控制,二个输出用发光管LED1,LED2显示。整个设计采用层次设计方法,顶层文件采用原理图输入法。整个电路设计思路分三部分: 1半加器电路设计; 2.全加器电路设计,是在半加器的基础上设计的; 3.数据输入,输出电路设计。
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:35124
    • 提供者:chenli
  1. 车辆识别程序

    1下载:
  2. SOFTWELL车牌识别源代码 产品说明 SOFTWELL SDK是专为从事车牌识别软件产品开发的客户而设计的软件开发包。采用国际领先的计算机视觉和图像处理算法,结合国际领先的神经网络算法,索威尔车牌识别采用模块的方式提供车牌识别功能的软件。具有高速的识别速度和可信识别正确率,以减轻各开发商的开发成本,提高其竞争力。适用于城市交通管理、超速监控、公路计费、停车场管理、被盗车辆侦破等应用开发。 车牌识别SDK组成模块: 1.车牌检测:检测输入照片或视频中是否存在车牌。 2.车牌识别:对检测到的车牌
  3. 所属分类:源码下载

    • 发布日期:2012-08-12
    • 文件大小:2797544
    • 提供者:sumper
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. EDA1

    0下载:
  2. 完成一位二进制全减器的设计,采用文本输入法分别实现,分层设计,底层采用半加器和逻辑门实现。-Completion of a binary full subtracter design, implementation, respectively, using the text input method, hierarchical design, are based on half adder and logic gates.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:390875
    • 提供者:周旋
  1. PfzDrawingSample

    0下载:
  2. 本文介绍了类,代表了全托管代码的位图。 一个位图,基本上是一维数组,使用了一些计算被视为一个二维数组看到。在这种数组中的值可以是颜色索引(当使用调色板)或RGB值直接使用某种类型的编码。托管位图具有PixelArray,这是一个字节数组,GrayscaleBitmap的诠释(System.Int32的),为ArgbBitmap为ColorBitmap颜色和简单的类。他们也知道有一个宽度,计算出的X / Y坐标。高度值,然后计算作为其宽度PixelArray长度划分。他们当然有一些其他方法。它
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-04-03
    • 文件大小:91141
    • 提供者:胡九
  1. h_adder

    0下载:
  2. 一个二位全加器的VHDL实现程序,能够完美在Quartus上运行-a h_adder write in VHDL,can work well on Quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:563
    • 提供者:许万春
  1. Xilinx_ISE_PPT(whole)

    0下载:
  2. Xilinx_ISE_大学计划使用教程PPT(全) Xilinx_ISE_大学计划使用教程PPT_1包括:Xilinx公司产品概述,Xilinx公司软件平台介绍,Xilinx公司ISE10.1软件 设计流程介绍,PicoBlaze的8位微控制器概述,PicoBlaze的简单处理解决方案,PicoBlaze的一个实例,PicoBlaze指令集详解; Xilinx_ISE_大学计划使用教程PPT_2包括: PicoBlaze指令集详解,KCPSM3 汇编器,KCPSM3编程语法,KCPS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7601630
    • 提供者:zbj
  1. 8051-COMMUNICATION

    1下载:
  2. 程序实现的主要功能是实验台与PC机之间的串行通信,其中包括异步,全双工串行数据收/发操作,工作方式为串行通信的方式二,8个数据位,1起始位,1个停止位,无奇偶校验,并且波特率为9600。库函数根据不同的实验台而不同。-The main function of the program between the experimental class with the PC serial communication, including asynchronous, full duplex serial
  3. 所属分类:assembly language

    • 发布日期:2017-11-23
    • 文件大小:8511
    • 提供者:冯杨森
  1. WXZ

    0下载:
  2. 加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。在电子学中,加法器是一种数位电路,其可进行数字的加法计算。在现代的电脑中,加法器存在于算术逻辑单元(ALU)之中。 加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。由于负数可用二的补数来表示,所以加减器也就不那么必要。-The adder is generated th
  3. 所属分类:assembly language

    • 发布日期:2017-11-21
    • 文件大小:134957
    • 提供者:孙雅琴
  1. Serial-communication

    0下载:
  2. 以16进制发送一个0-65535(两字节)数据之间的任一数,当单片机收到后在数码管上动态显示出来,波特率自定。 //选择单片机串口方式1时,有效数据位只有8位(二进制)65535转化为二进制需要16位(且16位全为1),换为十六进制也就是4位。串口助手一次发送都是二位十六进制数发送的。由于这些原因,我们需要分两次传送数据。先传16进制的前两位,再传后两位。 -Serial communication
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-17
    • 文件大小:4735839
    • 提供者:王明
  1. jinrongbao

    2下载:
  2. 国内最专业,最全面的P2P网络贷款平台系统-金融宝P2P网络贷款平台系统。应用目前市面上最火的网站编程语言:PHP编程语言,并采用了国内最火的PHP框架-THINKPHP框架。系统前台和后台,目录分层明确,便于管理。金融宝在对于投资和借贷这方面,进行了双向的选择。投资者可以自定利息,投资者在投标两个小时之内,可以选择放弃此次投标。借款人可以对投资者的利息进行自主选择。借款者和投资者双方完全都自由的选择。这是金融宝P2P网贷系统的最大优势。对于后台:金融宝系统运用了设置了管理员权限管理。超级管理员
  3. 所属分类:Other systems

    • 发布日期:2014-08-14
    • 文件大小:16287744
    • 提供者:江佳
  1. EDA

    0下载:
  2. 1.八进制计数器 2.八位右移寄存器 3.八位右移寄存器(并行输入串行输出) 4.半加 5.半加器 6.半减器 7.两数比较器 8.三数比较器 9.D触发器 10.T触发器 11.JK1触发器 12.JK触发器 13.三位全加器 14.SR触发器 15.T1触发器 16.三太门 17.有D触发器构成的6位2进制计数器 18.带同步置数的7进制减法计数器(6位右移寄存器) 19.二十四进制双向计数器 20.二选一 21
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-21
    • 文件大小:4143
    • 提供者:wanghao
  1. test1

    0下载:
  2. 一 继续熟悉ISE 和Modelsim的使用,按照实验手册进行练习。 二 写一个完整的entity和architecture, 用逻辑函数构建一个1位的全加器,并用ise进行语法检查和 综合。 -Use a continue to familiar with ISE and Modelsim, practice in accordance with the experimental manual. Two write a complete entity and architectur
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3593
    • 提供者:Jin
  1. TEST1

    0下载:
  2. 在本实验中,用三个按键开关来表示 1 位全加器的三个输入( Ai、 Bi、 Ci); 用二个 LED 来表示 1 位全加器的二个输出( Si, C)。通过输入不同的值来观察输 入的结果与 1 位全加器的真值表(表 1-1)是否一致。-In this experiment, three button switches to represent three input a full adder (Ai, Bi, Ci) two by two LED to indicate output a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:279298
    • 提供者:小方
  1. 西门子S7-200

    0下载:
  2. 序号 输入端子号 器件代号 名 称 说 明 1 I0.0 SB1 二位主令开关 自动/手动运行模式选择(闭合自动) 2 I0.1 SB2 三位主令开关 允许只进工作方式选择 允许进出工作方式选择 3 I0.2 允许只出工作方式选择 4 I0.3 SB4 按钮 复位操作 5 I0.4 SA1 按钮(开关代) 门内手动开门按钮 6 I0.5 SA3 按钮(开关代) 自动运行模式下门内开门信号 7 I0.6 ES1 急停按钮 紧急停止控制按钮 8 I0
  3. 所属分类:通讯编程

    • 发布日期:2018-04-29
    • 文件大小:23552
    • 提供者:kuntanqenkeng
搜珍网 www.dssz.com