CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 出租车计费

搜索资源列表

  1. tax1

    1下载:
  2. 1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元;-one, design a taxi for automatic billing, with mileage billing, the waiting time billing, and three starts, with four Digital Display total amount of the maximum 99. 99;
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:88294
    • 提供者:万金油
  1. 20068211814414818

    1下载:
  2. 设计十一 出租车自动计费器 1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元 -11 taxi design for an automatic billing, automatic design of a taxi meter, with traffic milestone billing, waiting time billing, and From three parts, with four LED show
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:162688
    • 提供者:cxh
  1. Taxi

    0下载:
  2. 接受里程传感器的脉冲输入(在本方案中使用PWM模拟替代传感器脉冲),并对脉冲进行计量,继而转换成里程; 􀂋 采用现行出租车计价系统的计算方法,对行驶里程进行计费; 􀂋 提供友好的用户界面,并具有语音提示功 能。 基于凌阳单片机!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:560170
    • 提供者:冯旭升
  1. Taxi-automatic

    0下载:
  2. 本项目实现一个出租车自动计费器。计费包括起步价、行车里程计费、等待时间计费三部分,用四位数码管显示总金额,最大值为999.9元。起步价为5.0元,3公里之内按起步价计费,超过3公里,每公里增加1元,等待时间单价为每1分钟0.1元。用两位数码管显示总里程,最大值为99公里,用两位数码管显示等待时间,最大值为99分钟。-The project achieved a taxi meter automatically. Billing, including starting, mileage charg
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:891386
    • 提供者:周舟
  1. mcu

    0下载:
  2. 本设计的是一个基于单片机AT89S52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性-The design is based on a monolithic integrated circuit AT89S52 taxi billing design, with reset circuit, clocking circuit, key
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:270633
    • 提供者:郭璐珉
  1. li123

    0下载:
  2. 本程序是为出租车设计的,主要功能是出租车自动计费-This procedure is designed for the taxi, taxis are the main functions of automatic billing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4443
    • 提供者:wangya
  1. taxi

    0下载:
  2. 费用的计算是按行驶的里程收费,设出租车的起步价为5.00元,当里程小于3km时,按起步价计算费用;当里程大于3km时,每公里按1.3元计费。等待累计时间超过2min时,按每分钟1.5元计费-taxi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:932
    • 提供者:wen
  1. taxi

    0下载:
  2. 出租车自动计费系统,功能完善,方便快捷,十分好用-taxi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2825
    • 提供者:gs
  1. Taxi-automatic-billing

    1下载:
  2. 出租车自动计费系统的verilog程序代码-Taxi automated billing system verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:900127
    • 提供者:刘新
  1. taxi

    0下载:
  2. 出租车系统的VHDL实现,包含了,计费,计时,超时自动收费,调整速度等等功能-Taxi system, VHDL implementation, contains, billing, time, overtime pay automatically adjust the speed, and so functional ... ...
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:524919
    • 提供者:张飞
  1. 11

    0下载:
  2. VHDL出租车计费器设计,课程设计完美通过优秀,各个功能模块讲解十分清楚-Taxi meter VHDL design, curriculum design the perfect through outstanding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9286479
    • 提供者:wzl
  1. 11

    0下载:
  2. VHDL出租车计费器设计,课程设计完美通过优秀,文档内容是该课程设计的论文,里面详细介绍了该设计的实现和各个模块的具体实现细节,这是河南科技大学课程设计内容-Taxi meter VHDL design, curriculum design the perfect through the excellent content of the document is that the curriculum design papers, which detailed the specific imple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9557890
    • 提供者:wzl
  1. 22

    0下载:
  2. VHDL出租车计费器设计论文文档,word格式,内容详细,介绍完整,功能强大。-Taxi meter VHDL design paper documents, word format, detailed descr iption complete and powerful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:271433
    • 提供者:wzl
  1. taxi_payment_system

    0下载:
  2. 编写程序实现出租车计费系统的实现,并在硬件上实现价格显示功能等-Programming Achieved taxi billing system, and to achieve price display hardware and other
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:93831
    • 提供者:邓青青
  1. taxi_eda

    0下载:
  2. 出租车计费EDA设计程序,亲自仿真实现的。-The procedure of taxi charging,simulation in person
  3. 所属分类:Other systems

    • 发布日期:2017-05-18
    • 文件大小:4680921
    • 提供者:dtq
  1. taxi

    0下载:
  2. 基于FPGA的出租车计费器设计,VHDL语言完成,仿真通过可用。-FPGA design is based on the taxi meter, VHDL language completion, through simulation available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:584151
    • 提供者:hbxgwjl
  1. taxi

    0下载:
  2. 出租车计费系统,在SOPC硬件环境下可实现代码-Taxi billing system in SOPC hardware environment can be achieved Codes
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-27
    • 文件大小:9609313
    • 提供者:王轲
  1. chuzuchejifei

    0下载:
  2. 出租车计费 1)实现计费功能 2)计费标准:按行驶里程计费,起步价为6.00元,并在3km后按1.20元/km计费,当计费器达到超过20元时,每公里加收50 的车费,车停止时和暂停时不计费。 3)现场模拟功能 能模拟汽车启动,停车及暂停和换挡等状态。- Taxi stand, mileage billing 1 ) realization of billing function 2 ) billing standard: bsed on mileage bil
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-15
    • 文件大小:11264
    • 提供者:王甜
  1. VHDL程序

    0下载:
  2. 利用QuartusⅡ6.0对所设计的出租车计费器的VHDL代码进行仿真,并在FPGA数字实验系统上实现了该控制。(The Quartus II 6 is used to simulate the VHDL code of the designed taxi billing device, and the control is realized on the FPGA digital experiment system.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. edaczcjfq

    0下载:
  2. 出租车计费,器设计一个出租车自动计费器,计费包括起步价、行车里程计费、停止和暂停不计费三部分。现场模拟汽车的启动、停止、暂停和换挡状态。分别用四位数码管显示金额和里程,各有两位小数,行程 3公里内,起步费为6元,超过3公里,以每公里1.3元计费(Car repair billing device)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-07
    • 文件大小:1560576
    • 提供者:jdjddjdj
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com