CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 出租车计费

搜索资源列表

  1. taxi

    1下载:
  2. 出租车自动计费器,使用verilog hdl语言编写,计费包括起步费、里程费、等待费,并利用八位数码管显示。-Automatic meter taxi, using verilog hdl language, including start charging fees, mileage fees, waiting costs, and use eight digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7947507
    • 提供者:金若梅
  1. txit

    0下载:
  2. 基于单片机的出租车多功能计费系统设计,实现计费功能,能够实现眼见模式-Microcontroller-based multi-functional billing system of taxi design, implementation, billing functions, to achieve seeing patterns
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:4529
    • 提供者:linyifeng
  1. zhuaizhuaier

    0下载:
  2. 注:设计中需要使用到的外部输入信号自己定义,如时钟频率,复位有效电平,数码管类型等,但必须具体说明。 1:出租车计价器(50分) 实现以下基本功能: (1)3公里内只起步价10元,3~8公里每公里2.0 元,8公里以上每公里3.0元; (10分) (2)车速小于2公里/小时为等待时间,总计等待时间累计5分钟以下不计费,超过5分钟部分每分钟1.0元,不足1分钟部分按照1分钟计; (13分) -Note: The design need to use their own def
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:584205
    • 提供者:zhuaizhuaier
  1. taxi

    0下载:
  2. (1)用3位数码管显示总的金额,最大值为99.9元。 (2)起步价为9元,里程为3公里。 (3)车行10m,里程传感器发一个脉冲。单价为2.4元/公里。 (4)等待单价是1.00元/10min;不足10min不收费(时间累计)。 (5)计费器按1角为单位累加,不足1角不加。 (6)自动计费器设置两个按钮。出租车载客时,驾驶员将空车指示牌放下时, 压住一个按钮,自动计费器开始工作;当乘客到达目的地时,驾驶员按下另一个 按钮时,启动打印机自动打印票据(计费显示)。车辆启动和停
  3. 所属分类:transportation applications

    • 发布日期:2017-05-23
    • 文件大小:7281162
    • 提供者:陈十三
  1. taxi-money

    1下载:
  2. 使用verilog编写,实现出租车计价器得日常计费功能-Prepared using verilog achieve Taximeter daily accounting functions have
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2993155
    • 提供者:陈凡
  1. taxi-meter

    0下载:
  2. 用单片机,模拟出租车的计价器,可实现基本的计费功能-With a microcontroller, analog taxi meter, the basic billing functions can be realized
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:45171
    • 提供者:Qingchan
  1. taxifeecounter

    0下载:
  2. 出租车自动计费器根据客户的实际情况尔自动显示用车费用的数字仪表,根据用车起价,行车里程计费及候车时间三项求出客户用车的总费用,通过数码自动显示。-Taxi automatic meter according to the actual costs of Seoul Auto Show car digital instrument, according to the car starts, mileage and waiting time three billing customers find
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:111195
    • 提供者:wangwenhao
  1. taximeter

    0下载:
  2. 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元; 行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:88018
    • 提供者:占斌
  1. Taximeter-VHDL

    0下载:
  2. 基于FPGA的出租车计价器,包括计程、计时、计费模块-FPGA-taxi meter, including the meter, timing, billing module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:12073
    • 提供者:徐清源
  1. jiyuPICchuzuchejijiaxitongdesheji

    0下载:
  2. 介绍当前出租车计价器存在的问题, 并在此基础上提出一种基于PIC16F877单片机的多功能出租车计价器的设计方 案, 除实现正常的计费功能,还具有防作弊、掉电保护等能力, 对其硬软件实现进行了详细阐述, 并验证其可行性。-Several current problemsarediscussedandonekindof designscheme for themultifunctional taximeter basedonPIC16F877isprovided. It realizest
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:550720
    • 提供者:Ruirui
  1. PROTEUS_AT24C02chuzuchejijiaqi

    0下载:
  2. AT89C52单片机为核心,完成出租车计价器的设计。采用AT24C02实现在系统掉电时保护,计费显示 采用8位8段数码管显示,该设计不仅可以计费,还可以实现白天黑夜的调价, 以及中途等待一段时间后总金额的增 加,另外设置清零里程按钮用于清零里程和总金额。利用proteus仿真软件模拟出租车计价的功能。-WithAT89C52asthecore, thearticlecompletesthedesignof taximeter, whichrealizesthepro-tectionof
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:382582
    • 提供者:Ruirui
  1. 10051123

    0下载:
  2. 实现秒表控制,最终实现对出租车的计费器进行智能控制-Stopwatch control, and ultimately the intelligent control of the taxi meter
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-05-02
    • 文件大小:579401
    • 提供者:王仁俊
  1. TAXI_TOLL_1_1

    1下载:
  2. 实现出租车自动计费器 能进行LCD1602液晶显示。硬件平台:Xilinx Spartan3E -Use VHDL languange to achieve the automatic taxi meter and display cost,waiting time and distance on the LCD1602 . Hardware platforms: Xilinx Spartan3E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:3153129
    • 提供者:linjunlan
  1. taxi

    0下载:
  2. 基于maxplus2的出租车计价器设计有行走和停止两种计费状态-failed to translate
  3. 所属分类:Other systems

    • 发布日期:
    • 文件大小:58470
    • 提供者:lmk
  1. chuzucheFPGA

    0下载:
  2. 设计一个出租车计价器,主要功能: (1)当出租车启动时,开始记里程数,开始计费,其实费用为7.0。 (2)当出租车等待时,不计数和不收取费用。 (3)当出租车停止时,不进行任何计数和收取费用。 -Design a taxi meter, main functions: (1) When the taxi starts, starts counting mileage, start accounting, in fact, the cost of 7.0. (2) When t
  3. 所属分类:Other systems

    • 发布日期:2017-12-10
    • 文件大小:5283
    • 提供者:卓青燕
  1. The-taxi-fare-register-automatically

    0下载:
  2. 设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分-Design a taxi automatic message accounting, billing, including fare, mileage, charging, the waiting time for three parts
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-08
    • 文件大小:61504
    • 提供者:王涛
  1. yi

    0下载:
  2. 出租车计价器quartuII 应用在单片机上的出租车计价器 主要功能为起步价,计费显示,以及随着等待时间增加价钱-Taxi meter quartuII applications in SCM taxi meter main function is starting, charging display, as well as the waiting time increases the price
  3. 所属分类:SCM

    • 发布日期:2017-11-17
    • 文件大小:1555567
    • 提供者:Apache
  1. hangzhoutaxi

    0下载:
  2. 杭州出租车最新计费计算器,按照最新出台的计费方式计算。和出租车实际计费相同-Hangzhou taxi latest charges calculator
  3. 所属分类:transportation applications

    • 发布日期:2017-03-31
    • 文件大小:501752
    • 提供者:张清卿
  1. taxi2

    0下载:
  2. 出租车计程计费器 vhdl程序 数码管显示路程 车费-Metered taxi meter vhdl program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1443218
    • 提供者:梁家越
  1. Taximeter

    0下载:
  2. 出租车计价器(其中包括分频模块,计程模块,计时模块,计费模块,显示模块以及顶层模块),基于Verilog HDL语言,开发板是FPGA(Sparten 6 LXS45),开发环境是Xilinx。-Taxi meter (including frequency module, the meter module, timing module, billing module, display module and top-level module), based on Verilog HDL lang
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-14
    • 文件大小:3443383
    • 提供者:胡玉
« 1 2 ... 4 5 6 7 8 910 »
搜珍网 www.dssz.com