CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 分频器 vhdl

搜索资源列表

  1. jtdvhdl

    0下载:
  2. 自己做的VHDL交通灯控制器;分频器、信号控制器、时钟模块;EDA; 通过了仿真、运行。时间可以设置为随意的两位数.-code and jpf
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:206852
    • 提供者:lulin
  1. chengxu

    0下载:
  2. 一个分频器,一个卷积编码器的程序,都是VDHL的-A frequency divider, a convolutional encoder program, are VDHL of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1351
    • 提供者:chencong
  1. vhdl-examples

    0下载:
  2. VHDL写的100多个经典例子,适合初学者。包括分频器,简易时钟等-VHDL written more than 100 classic example, suitable for beginners. Divider, the simple clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:233303
    • 提供者:吴忠国
  1. 1

    0下载:
  2. 根据交通灯控制器的功能与要求,将其总体电路分为分频器、信号控制器两个模块。-According to the traffic light controller functions and the requirements of the overall circuit is divided into its divider, the signal controller two modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4152
    • 提供者:li
  1. fen1to7

    0下载:
  2. 这是我在ISP编程实验中独立编写的一个采用行为描述方式实现的分频器,通过两个并行进程对输入信号CLK进行8分频,占空比为1:7-This is my ISP programming experiment in the preparation of an independent descr iption of the use of behavior to achieve the prescaler, through two parallel processes on the input signa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:27848
    • 提供者:daisichong
  1. FREDIV

    0下载:
  2. 无限分频器,VHDL编写,可以实现奇数和偶数分频。-Unlimited divider, VHDL development, can be odd and even frequency.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:333160
    • 提供者:耿守浩
  1. divclock

    0下载:
  2. 基于VHDL的各种分频器的设计。很好用,可修改成各种通用分频器-VHDL-based design of the various divider. Very good, and can be modified into a variety of common divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:322153
    • 提供者:远 额
  1. VHDL

    0下载:
  2. 基于vhdl数控分频器的设计与应用,少有的关于分频方法的介绍-Divider based on vhdl design and application of NC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:173809
    • 提供者:曾凡麟
  1. dianziqin

    0下载:
  2. 这个程序是利用Quartus II编写的利用数控分频器设计硬件电子琴,主系统由3个模块组成,顶层设计文件内部有三个功能模块:SPEAKER.VHD 和TONE.VHD和NoteTabs.vhd。模块TONE是音阶发生器,模块SPEAKER中的主要电路是一个数控分频器,NOTETABS模块用于产生节拍控制和音阶选择信号。-This program is the use of Quartus II design prepared by the use of CNC divider hardware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:389199
    • 提供者:哈哈
  1. sanfenpin

    0下载:
  2. verilog 三分频 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如altera 的PLL,Xilinx的DLL.来进行时钟的分频,倍频以及相移。-verilog-third of the frequency divider is a FPGA design, very high frequency of use, one of the basic design, although most of the designs in
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:779
    • 提供者:杨化冰
  1. music_disply

    0下载:
  2. 音乐播放器 中的数控分频器 后续还需要添加一个分频的电路-Music player in the follow-up of NC divider also need to add a sub-frequency circuit
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:688241
    • 提供者:zx
  1. uart

    0下载:
  2. RS232控制分频,实现占空比和频率可以控制的分频器-verilog RS232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:80161
    • 提供者:cuiqiang
  1. 20080108103305384

    0下载:
  2. 本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.-The system is designed using EDA technology with a simple ei
  3. 所属分类:Compiler program

    • 发布日期:2017-04-02
    • 文件大小:50368
    • 提供者:123
  1. xiyiji

    1下载:
  2. 洗衣机控制程序,包括分频器,计数器,触发控制器等。-Washing machine control procedures, including the divider, counter, trigger controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6470
    • 提供者:羔羊
  1. vhdl

    0下载:
  2. 3分频 器,LED分位译码电路,交通控制器,序列检测器-four programs based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2413
    • 提供者:李卓
  1. COUNT

    0下载:
  2. 设计一个最大分频为225的分频器,将50MHz时钟作为输入。分频器可以通过计数器来实现,通过一个25位的计数器,然后在最后一位输出,则产生了一个最大分频为225的分频器。-Design a maximum frequency divider 225, the 50MHz clock as input. Divider can be achieved through the counter, through a 25-bit counter, and then the last one out,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2038
    • 提供者:tosh
  1. zidongpinlv

    0下载:
  2. 4位自动换挡数字频率计设计 1、 由一个4位十进制数码管(含小数点)显示结果; 2、 测量范围为1Hz~9999KHz; 3、 能自动根据7位十进制的结果,自动选择有效数据的高4位进行动态显示(即量程自动转换),小数点表示是千位,即KHz; 4、 为检测设计正确与否,应将时钟通过PLL和手控分频器产生宽范围的多个频率来测试自动换档频率计功能。 -4 automatic transmission design a digital frequency meter, by a 4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:354577
    • 提供者:李伦特
  1. VHDLqiangdaqi

    1下载:
  2. VHDL四路抢答器该任务分成七个模块进行设计,分别为:抢答器鉴别模块、抢答器计时模块、抢答器记分模块、分频模块、译码模块、数选模块、报警模块,最后是撰写顶层文件。-VHDL four Responder divided into seven modules of the design task, namely: Responder identification module, timing module Responder, Responder scoring module, frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:7240
    • 提供者:oyang
  1. VHDL_fre_div

    0下载:
  2. 使用VHDL进行分频器设计 本文使用实例描述了在FPGA/CPLD上使用VHDL进行分频器设 计,包括偶数分频、非50 占空比和50 占空比的奇数分频、半整数 (N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可 通过Synplify Pro或FPGA生产厂商的综合器进行综合,形成可使 用的电路,并在ModelSim上进行验证。-For crossover design using VHDL This paper describes the use of ex
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:322204
    • 提供者:guoguo
  1. div63

    1下载:
  2. 可以对增量式编码器输出的AB相信号进行整数分频。有一个简单的通讯接口,可设定分频大小。 -Incremental encoder can output an integer number for AB believe frequency. There is a simple communication interface, can set the size frequency.
  3. 所属分类:通讯编程

    • 发布日期:2014-01-05
    • 文件大小:1615
    • 提供者:周振亮
« 1 2 34 5 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com