CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 分频器 vhdl

搜索资源列表

  1. UART

    0下载:
  2. VHDL语言写的串口发送、接收程序,根据晶振和相应的波特率修改分频器就可以实现!-Written in VHDL serial send, receive, process, according to crystal and the corresponding baud rate divider changes can be achieved!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2369
    • 提供者:康丙寅
  1. deccount16nr

    0下载:
  2. 16位任意计数分频器,VHDL语言实现,通过测试-Any count 16-bit divider, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4016
    • 提供者:zhangwei
  1. VHDL

    0下载:
  2. 分频器实现不仅可以以偶数倍分频,还可以以基数被分频,可以调整占空比-Divider to achieve not only the frequency can be even several times, but also can be divided base, you can adjust the duty cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4124
    • 提供者:houxinghai
  1. 002

    0下载:
  2. VHDL语言 用计数器实现分频 N频分频器-VHDL language divide by N counter frequency divider to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3216
    • 提供者:xiaojing
  1. EDA

    0下载:
  2. VHDL语言 用计数器实现分频器 N分频器-VHDL language implementation with a counter N divider divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3033
    • 提供者:xiaojing
  1. VHDL

    0下载:
  2. 基于VHDL的分频器,程序源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频。-The frequency of an points based on VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5158
    • 提供者:白银河
  1. VHDL-NoteTabs-

    0下载:
  2. 利用实验数控分频器的设计硬件乐曲演奏电路,主系统由三个模块组成,顶层设计文件,其内部有三个功能模块,TONETABA.VHD,NOTETABS.VHD,和SPEAKERA.VHD, 在原设计的基础上,增加一个NOTETABS模块用于产生节拍控制(INDEX数据存留时间)和音阶选择信号,即在NOTETABS模块放置一个乐曲曲谱真值表,由一个计数器的计数值来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。-Experimental NC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5038
    • 提供者:JACK
  1. The-use-of-VHDL-divider-design

    0下载:
  2. 分频器的各种设计方法, 及源代码,源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频。-The use of VHDL divider design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4740
    • 提供者:王子冉
  1. VHDL-counter

    0下载:
  2. 在数字电路中,常需要对较高频率的时钟进行分频操作,得到较低频率的时钟信号。我们知道,在硬件电路设计中时钟信号时非常重要的。 下面我们介绍分频器的VHDL描述,在源代码中完成对时钟信号CLK的2分频,4分频,8分频,16分频。 -In digital circuits, and often need high frequency clock divider operating in lower frequency clock signal. We know that when the c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:85509
    • 提供者:zhanghua
  1. VHDL

    0下载:
  2. 本程序是些用VHDL应用的一些基本程序,有分频器,编译码器等一些基本器件程序-This program is using VHDL applications, some of the basic program, a divider, codecs, etc. some of the basic device program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4191
    • 提供者:李远
  1. beep-sing(VHDL)

    2下载:
  2. 向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调 该实验通过设计一个状态机和分频 器使蜂鸣器发出"多来咪发梭拉西多"的音调。 -Sent to the buzzer frequency square wave of the experiment through the design of a state machine and divider buzzer sounded " more than a microphone Fat shuttle Rasi multi to
  3. 所属分类:assembly language

    • 发布日期:2017-10-30
    • 文件大小:375063
    • 提供者:饕餮小宇
  1. VHDL-divider-design

    0下载:
  2. VHDL分频器设计,本文使用实例描述了在FPGA/CPLD上使用VHDL进行分频器设计,包括偶数分频、非50 占空比和50 占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。-VHDL divider design, this article describes use cases, including even divide, non-50 duty cycle and 50 duty cycle odd divider, half integer (N+0-cr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:320749
    • 提供者:黄玲
  1. vhdl--of--traffic-light

    0下载:
  2. 十字路口的交通灯vhdl控制程序,其中包括分频器、交通灯控制器和主程序三部分。-Crossroads of traffic lights the vhdl control procedures, including the three parts of the divider, traffic light controller and main program.
  3. 所属分类:Other systems

    • 发布日期:2017-11-12
    • 文件大小:5275
    • 提供者:仝侨
  1. VHDL

    0下载:
  2. VHDL初级编程实例:动态扫描显示程序、分频器设计程序、8位移位寄存器、BCD计数器设计(任意进制)等等。-VHDL the primary programming examples: dynamic scanning display program, the divider design process, the 8-bit shift register, BCD counter design (any hex), and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:11445
    • 提供者:罗梵
  1. VHDL-fen-pin

    0下载:
  2. VHDL分频器,普通分频,偶数分频,奇数分频,小数分频等各种分频器的编写-The preparation of the VHDL divider, sub-frequency, even frequency, odd division, fractional-N divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:343549
    • 提供者:lsw
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. VHDL-

    0下载:
  2. VHDL语言 有限状态机交通灯的设计 分频器模块的设计-Finite state machine design language VHDL design of traffic lights divider module
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:6457
    • 提供者:赵小川
  1. Prescaler-to-use-VHDL-design

    0下载:
  2. 本文使用实例描述了在 FPGA/CPLD 上使用 VHDL 进行分频器设计,包括偶数分频、非 50 占空比和50 占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可通过 Synplify Pro 或 FPGA 生产厂商的综合器进行综合,形成可使用的电路,并在 ModelSim 上进行验证。-This paper describes the use of examples prescaler to use VHDL design on FPGA/CPLD, i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:340069
    • 提供者:liufei
  1. VHDL-Programming-Examples

    0下载:
  2. 分频器、译码器、编码器、计数器、状态机等基本的硬件描述语言代码-The basic hardware divider, decoders, encoders, counters, state machine descr iption language code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1077848
    • 提供者:zyz
  1. div_div

    0下载:
  2. 可对时钟进行分频,计数功能,任意分频器 vhdl-counter vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1880
    • 提供者:龙哥
« 1 2 3 45 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com