CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 双口RAM

搜索资源列表

  1. SH607

    0下载:
  2. 双端口RAM方式的数据通信,速度非常快!
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1084
    • 提供者:freeyuansh
  1. doubleportram

    0下载:
  2. 高速双端口RAM的vhdl实现。包含仿真波形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:303585
    • 提供者:liujingxing
  1. DPRAM

    0下载:
  2. 利用vhdl编写的双端口Ram程序,不带数据纠错处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1034
    • 提供者:孙敬辉
  1. fifo

    0下载:
  2. 用双端口ram实现异步fifo,采用格雷码,避免产生毛刺。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1105
    • 提供者:shili
  1. ucosii51

    0下载:
  2. 这是一个用51单片机系统仿真ucosii工作的protues电路 本系统包括扩展64k RAM的单片机系统和杨屹移植到51单片机的ucosii 系统 使用方法: 1.安装Protues7.4版,然后用protues打开protues 8051 simulation 文件夹 中的extern ram.DSN 文件 2.打开extern ram.DSN 后,双击单片机在弹出的窗口中的Program File 选项 中选择yy.hex文件。 (yy.h
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:265789
    • 提供者:官卫乾
  1. syncram_2p

    0下载:
  2. 这个一个基于amba总线的双端口ram的vhdl语言程序-The amba bus-based dual-port ram in vhdl language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1905
    • 提供者:cws
  1. ASYNCFIFOXPXMOD

    0下载:
  2. 任意时钟配比的异步fifo.含有synplify ip库中的双端口ram。用于处理多时钟域问题。-Arbitrary ratio of asynchronous clock fifo. Containing synplify ip library of dual-port ram. Used to deal with the issue of multi-clock domain.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5285
    • 提供者:xupeixin
  1. fpga.fifo

    1下载:
  2. 异步FIFO是用来适配不同时钟域之间的相位差和频率飘移的重要模块。本文设计的异步FIFO采用了格雷(GRAY)变换技术和双端口RAM实现了不同时钟域之间的数据无损传输。该结构利用了GRAY变换的特点,使得整个系统可靠性高和抗干扰能力强,系统可以工作在读写时钟频率漂移达到正负300PPM的恶劣环境。并且由于采用了模块化结构,使得系统具有良好的可扩充性。-Asynchronous FIFO is an important module which always used to absorb the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:82017
    • 提供者:雷志
  1. Two_Port_RAM_lab

    0下载:
  2. Actel双端口存储;通过串口发送数据初始化RAM,然后通过串口返回到上位机的串口调试程序显示-通过串口发送数据初始化RAM,然后通过串口返回到上位机的串口调试程序显示
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:5038
    • 提供者:劳杰勇
  1. Project

    0下载:
  2. 定制一个双端口RAM,DualPortRAM-RAM,DualPortRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:138340
    • 提供者:寻宝人
  1. Based_on_dual-port_AM_of_the_single-chip_communica

    0下载:
  2. 基于双口的RAM的单片机通信,初学者学习有帮助。-Based on dual-port RAM of the single-chip communications, will help beginners to learn.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:23423
    • 提供者:张先呈
  1. yacc.tar

    0下载:
  2. mips处理器,将指令和数据放到一个双端口ram里存储-mips processor, the instructions and data into a dual-port ram to store
  3. 所属分类:Other systems

    • 发布日期:2017-05-17
    • 文件大小:4382753
    • 提供者:李仓
  1. IDT7007RAM

    0下载:
  2. IDT7007高速双端口RAM及其应用 包括详细的功能原理说明-IDT7007 High-speed dual-port RAM and its application include a detailed descr iption of the Function Principle
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:214661
    • 提供者:tianjiawei
  1. m_decoder

    0下载:
  2. 恢复以曼彻斯特编码格式输入的mdi信号成实际数据并存储在双端口RAM后以中断方式通知DSP读取数据,所需双端口RAM程序可以从相应的FPGA编译系统中产生-A return to the Manchester encoded signal is input into the actual data mdi and stored in the dual-port RAM notify the DSP after the break to read the data, the required du
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2541
    • 提供者:周宽裕
  1. m_encoder

    0下载:
  2. 将写入的数据用曼彻斯特码格式从meout口输出,所需内部存储单元可根据所使用不同的FPGA类型由相应的编译软件产生所需双端口RAM模块-The data will be written by Manchester code format from meout port output, the required internal storage unit can be used according to the different types of FPGA Compiler software f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:2511
    • 提供者:周宽裕
  1. communication

    0下载:
  2. 51双端口RAM方式的数据通信的c语言例程-51 pairs of data communication port RAM mode of c-language routines
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:869
    • 提供者:qian
  1. 70T633_VHDL

    0下载:
  2. idt 双口RAN 70t633 VHDL驱动-idt DUAL RAM 70t633 VHDL driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1881
    • 提供者:gujian
  1. DoubleRAM

    0下载:
  2. actel fpga kit 双端口RAM 实验-actel fpga kit dual-port RAM test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:614170
    • 提供者:zhouwj
  1. ram_fpgavhdl

    0下载:
  2. fpga vhdl实现一个标准双端口ram,可以作为单端口或者双端口用 -fpga vhdl achieve a standard dual-port ram, can be used as a single port or dual port with a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3383
    • 提供者:站长
  1. cpldrealizing-DUALportRAM-vhdl

    0下载:
  2. 双端口RAM 实现对于RAM的同时读写操作-dualport ram with the VHDL to realize read or write the ram at the same time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:781502
    • 提供者:夏文瀚
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com