CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 密码锁

搜索资源列表

  1. danpianji

    0下载:
  2. 关于51单片机的课程设计电子密码锁里面包括硬件电路和软件代码-With regard to curriculum design of electronic microcontroller 51 locks which includes hardware circuitry and software code
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2333696
    • 提供者:zengjun
  1. ElectronicCodeLock1

    0下载:
  2. 电子密码锁,报警,修改密码,输入三次错误自动结束。-Electronic password lock, alarm, change your password, enter the three errors automatically end.
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:12286
    • 提供者:马欣
  1. LCD12864

    0下载:
  2. 电子密码锁的仿真图以及程序,在PROTEUS环境地下可以仿真,具有开锁以及修改密码的功能-The simulation diagram of electronic locks, and procedures
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-24
    • 文件大小:90004
    • 提供者:82279492
  1. mimasuo

    0下载:
  2. 电子密码锁程序,可以密码在线修改,延时锁定,密码重置等功能 -Electronic password lock program, you can change your password online, delay lock, password reset and other functions
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:2714
    • 提供者:xiaolianjun
  1. mao(1)

    0下载:
  2. 电子密码锁的设计报告,使用键盘输入,包括上锁与解锁。基本的设计思路,源程序-Electronic code lock design report, use the keyboard input, including locking and unlocking. The basic design ideas, source code
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:32225
    • 提供者:maominchao
  1. codelock

    0下载:
  2. 设计一个简单的数字电子密码锁,密码为4 位。 功能:1、 密码输入:每按下一个键,要求在数码管上显示,并依次左移; 2、 密码清除:清除密码输入,并将输入置为”0000”; 3、 密码修改:将当前输入设为新的密码; 4、 上锁和开锁。 -Design a simple digital electronic locks, password 4. Function: 1, the password input: Each press of a button, requiring
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:514099
    • 提供者:hualiayue
  1. dainzimimasuo

    0下载:
  2. 密码锁上交电子文档,原理图,软件编程,课程论文文档,蛮有用的啊-Password locked electronic document delivery, schematics, software programming, curriculum paper documents, Man You use ah
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:100162
    • 提供者:waipo
  1. AT89s51dianzimimas

    0下载:
  2. AT89S51 LM386N1 单片机电子密码锁设计 的protus仿真+C语言程序(TXT格式、HEX格式)以及说明书等。-Microcontroller AT89S51 LM386N1 electronic code lock design protus simulation+ C Language Program (TXT format, HEX format), as well as brochures and so on.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:92037
    • 提供者:
  1. 51

    0下载:
  2. 基于51单片机和显示驱动芯片X7299的简易电子密码锁程序。-51 SCM and X7299 based on a simple electronic lock program.
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:5642
    • 提供者:闻培君
  1. c51-mimasuo

    0下载:
  2. 本程序利用51单片机对4X4输入键盘实现电子密码锁功能-This procedure using 51 pairs of 4X4 Keyboard microcontroller to achieve electronic code lock
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:2137
    • 提供者:xie
  1. codelock

    0下载:
  2. 用VHDL实现密码锁功能,用状态机实现,分管理员和用户两种功能,可分别修改密码,重置密码等。-codelock,VHDL,state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1627660
    • 提供者:amanda
  1. 160224C084X4

    0下载:
  2. 1602+24C08+4X4键盘密码锁的程序,看看吧-1602+24 C08+4 X4 keypad lock
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:39133
    • 提供者:彭陈铃
  1. VHDL(LOCK)

    0下载:
  2. 数字密码锁的设计与实现 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习数字密码锁的设计 二.实验内容 设计一个数字密码锁,对其编译,仿真,下载。 数字密码锁具体要求如下: 1.系统具有预置的初始密码“00000001”。 2.输入密码与预存密码相同时,开锁成功,显示绿灯,否则开锁失败,显示红灯。 3.具有修改密码功能。修改密码时,先开锁,开锁成功才可以修改。 4.系统同时具有关锁功能。关锁后,显示红灯。 5.密码由拔码开关表
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:18105
    • 提供者:爱好
  1. mimashuo

    0下载:
  2. 本系统由单片机系统、矩阵键盘、LED显示和报警系统组成。系统能完成开锁、超时报警、超次锁定、管理员解密、修改用户密码基本的密码锁的功能。除上述基本的密码锁功能外,还具有调电存储、声光提示等功能,依据实际的情况还可以添加遥控功能。本系统成本低廉,功能实用 -The system consists of single chip systems, matrix keypad, LED display and alarm system components. System to complete t
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:3022
    • 提供者:liqiang
  1. ElectronicLocks

    2下载:
  2. 电子密码锁为三位密码,由输入部分,控制部分和输出部分组成。其中输入部分包括4×4矩阵键盘、弹跳消除电路、键盘扫描电路、键盘译码电路;控制部分包括按键存储电路、密码修改电路、比较电路;输出部分主要是七段译码显示器。当输入三位正确密码时,一个L1发光二极管亮,指示门打开;当输入密码错误时,另外一个发光二极管亮,此时可以通过开锁开关(复位开关)重新输入密码。若想实现密码更改,可重新按下上锁开关设置密码,即实现密码更改功能。-Electronic locks on the three passwords
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-03-24
    • 文件大小:41893
    • 提供者:sunnan
  1. elock

    0下载:
  2. 电子密码锁,6个密码,当输入正确的密码时开锁,当输入3次错误的密码时会报警。-Electronic code lock, 6 password
  3. 所属分类:assembly language

    • 发布日期:2017-03-24
    • 文件大小:761
    • 提供者:biao
  1. electronicodelock

    0下载:
  2. 这是我自己编写的基于单片机C51的电子密码锁,功能比较全面,而且都有详细注释,在单片机板上调试通过。-This is my own preparation of C51-based Microcontroller electronic code lock, function is more comprehensive and detailed notes are in the microcontroller board through debugging.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-07
    • 文件大小:40277
    • 提供者:周小龙
  1. VHDmimasuo

    0下载:
  2. 用VHDL编写的具有如下功能的电子密码锁:输入为八位二进制的电子密码锁 输入正确,开锁灯亮,输入错误,开锁警示灯亮,同时发出报警声音,按下复位键,报警消失,具有密码修改功能-Prepared using VHDL has the following features of electronic code lock: eight binary input to enter the correct electronic code lock, unlock lights, wrong, unloc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1782
    • 提供者:liuyunyu
  1. vhdllock

    0下载:
  2. 用vhdl设计的8位二进制串行密码锁,设计简单实用-Vhdl design with 8-bit binary serial lock design is simple and practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1782
    • 提供者:liuyunyu
  1. mimasuo

    0下载:
  2. proteus仿真电路,单片机做的密码锁-proteus simulation circuit, microcontroller do lock
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:80294
    • 提供者:需求为
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com