CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 抢答器 vhdl

搜索资源列表

  1. qiangdaqi111

    0下载:
  2. 4路抢答器,vhdl语言编写,绝对真实-four road Responder, vhdl language, absolutely true.
  3. 所属分类:其他游戏

    • 发布日期:2008-10-13
    • 文件大小:1591
    • 提供者:陆已
  1. VHDLverilogshirenqiangdaqi

    0下载:
  2. 用VHDL和verilog实现的四人抢答器-using VHDL and verilog realization of four Responder
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-15
    • 文件大小:5596
    • 提供者:qihuolin
  1. qiangdaqi

    0下载:
  2. 用VHDL设计的7人的抢答器,优点是代码简单,特别适合初学着作为练习和增强代码编写能力的练习,好处
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:795
    • 提供者:遇见
  1. answermachine

    0下载:
  2. 基于VHDL的抢答器程序,包含完整的源代码,锁脚文件以及下载文件,可直接下载使用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8819
    • 提供者:陈泽涛
  1. qiangdaqi

    0下载:
  2. 使用vhdl语言设计的一个四人参加的智力竞赛抢答计时器。当有某一参赛者首先按下抢答开关时,响应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于100s(显示为0—99),时间显示采用倒计时方式。当达到限定时间时,的发出声响以示警告。 -Using VHDL language design four people to participate in the quiz answer in the timer. When a participa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:192263
    • 提供者:陈小龙
  1. 61EDA_D944

    0下载:
  2. 抢答器的实现,主要通过vhdl语言,并有原理图-Answer s achieved, primarily through the VHDL language, and schematic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:828127
    • 提供者:yimilai
  1. qiangdaqi

    0下载:
  2. VHDL基础 数据对象 抢答器 适合新手-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:463203
    • 提供者:bniy
  1. lab_text

    0下载:
  2. EDA考试的五种题目编程,其中包括五人表决器,抢答器,乘法器,自动售货机等, 编译环境为ISE,程序语言VHDL-eda text ise vhdl
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1670789
    • 提供者:gaoshang
  1. qiangdaqijishu

    0下载:
  2. 用VHDL语言设计符合上述功能要求的四人抢答器并且具有计数功能-Responder count
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:10858
    • 提供者:zhangqian
  1. qd

    0下载:
  2. 数字抢答器的VHDL设计代码 数字抢答器的VHDL设计代码-The VHDL design of digital Responder Responder of the VHDL code for design of digital code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1006
    • 提供者:
  1. qiangda

    0下载:
  2. 基于FPGA的抢答器程序,VHDL 语言描述。-FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:232903
    • 提供者:鲍波
  1. MIMASUO

    0下载:
  2. 伴随着集成电路(IC)技术的发展,EDA技术已经成为现代电子设计的发展趋势,并在各大公司、企事业单位和科研教学部门广泛使用。VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。本文阐述了EDA的概念和发展、VHDL语言的优点和语法结构并分析讲解了智能抢答器的各模块的功能要求、基本原理以及实现方法。本系统的设计就是采用VHDL硬件描述语言编程,基于Quartus II平台进行编译和仿真来实现的,其采用的模块化、逐步细
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:179213
    • 提供者:RONG
  1. VHDL1

    0下载:
  2. 四路抢答器。自锁,灭灯,响闹,计时,显示。-Four ways of vies to implement VHDL source language
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:5750
    • 提供者:谢铷祥
  1. Qdaqi

    0下载:
  2. 基于VHDL语言 实现八路抢答器 有源时钟48mhz 功能为任意按键按下屏蔽其它按键输入-VHDL language based on the active clock 48mhz eight Responder function to any button is pressed the other key input screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:287238
    • 提供者:张帝
  1. firstanswer

    0下载:
  2. 基于fpga的抢答器,用vhdl语言编写-Fpga based on the Responder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1155946
    • 提供者:ou rongde
  1. Responder-control-system-design

    0下载:
  2. 基于VHDL 语言的8 路抢答器控制系统设计,8 路抢答器控制系统; EDA; CPLD; VHDL; 仿真-8 Based on VHDL language Responder control system design, control system 8 Responder EDA CPLD VHDL Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:99005
    • 提供者:damon
  1. counter10

    0下载:
  2. 基于VHDL语言的十进制计数器设计,8 路抢答器控制系统; EDA; CPLD; VHDL; 仿真-VHDL language based on the decimal counter design, 8-way Responder control system EDA CPLD VHDL Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:178108
    • 提供者:damon
  1. Four-ways-of-contest

    0下载:
  2. 基于vhdl硬件设计语言而设计的四路抢答器-Based on VHDL designed hardware design language road 4 contest device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1024
    • 提供者:杨明
  1. altera_fpga

    0下载:
  2. 用VHDL编写的四路抢答器,附有加减分功能,答题倒计时等。-Written by VHDL four Responder, with addition and subtraction sub function, answer the countdown and so on.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1084141
    • 提供者:fengyang
  1. siluqiangdaqi

    0下载:
  2. 通过VHDL程序设计一个4人参加的智力竞赛抢答计时器,当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示为0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 -VHDL programming by a 4 quiz participants answer in timer, when a participant first press the answe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6132
    • 提供者:longking
« 1 2 3 45 6 »
搜珍网 www.dssz.com