CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 数字时钟 VHDL

搜索资源列表

  1. shuzipinlvji

    0下载:
  2. 1.用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz~10KHz,分成两个频段,即1~999Hz,1KHz~10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -1 completed 12 with VHDL design and simulation of d
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:312781
    • 提供者:123
  1. clock

    0下载:
  2. VHDL语言写的电子时钟,该数字电子钟能够实现时、分、秒计时功能;校准时和分的功能;校准时间时秒清零的功能;整点报时的功能;-written in VHDL,clock,count second,minute and hour
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-14
    • 文件大小:3242614
    • 提供者:聪聪
  1. shuzizhong

    0下载:
  2. 基于VHDL语言的数字钟,有元件例化,修改时钟功能 Quartus II平台-VHDL language based digital clock, there are component instantiation, modify clock function Quartus II platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4558252
    • 提供者:尜尜
  1. digital-frequency-meter

    0下载:
  2. 1.用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz∼ 10KHz,分成两个频段,即1∼ 999Hz,1KHz∼ 10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -1. VHDL design and simulation comple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:45466
    • 提供者:项小娇
  1. shizhong

    1下载:
  2. 这是用VHDL编写的数字逻辑时钟电路,实现计时和由23:59到00:00转换的功能,已经在FPGA中测试通过!-This is written in VHDL digital logic circuit。It can realize the function of timing and by 23:59 to 00:00 conversion, has been in the FPGA test through!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:371140
    • 提供者:李佳倩
  1. clock

    0下载:
  2. 用VHDL 语言设计数字钟,实现在数码管上显示分钟和秒,并且可以手动调节分钟, 实现分钟的增或者减。该设计包括以下几个部分: (1)分频电路的设计,产生1Hz 的时钟信号,作为秒计时脉冲; (2)手动调节电路,包括“时增”“时减”“分增”“分减”。 (3)时分秒计时电路。 (4)7 段数码管显示电路。 将 SW1 和SW2 初始状态均置为高电平。拨动开关SW1 到低,分钟进行加计数,秒停 止计数,当计数到59 时,从00 开始重新加计数,将SW1 拨动到高时,在当前状
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:495748
    • 提供者:panda
« 1 2 3 4 5 6 7 8»
搜珍网 www.dssz.com