CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 方波

搜索资源列表

  1. MATLAB-shiyan

    0下载:
  2. 本文介绍了基于MATLAB软件在《信号与系统》课程教学中的仿真实验,通过抽样信号的表征、周期方波信号的傅立叶级数分解与合成、余弦信号的相加与相乘、RLC带通滤波器的频率特性等仿真实验,说明了将MATLAB应用于教学实践中的重要作用和意义。-This article describes the MATLAB-based software in the " Signals and Systems" course teaching simulation, by sampling th
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:210754
    • 提供者:fenghaixiang
  1. AD9850

    2下载:
  2. AD9850 模块 很好用 串口 并口的程序都有 正旋波 方波-AD9850 AD9850 AD9850 AD9850 AD9850
  3. 所属分类:SCM

    • 发布日期:2014-12-08
    • 文件大小:909312
    • 提供者:许俊
  1. PID-Control-4

    0下载:
  2. 离散系统的数字PID控制,可以跟踪阶跃信号、正弦信号、方波信号,根据S参数选择。-Discrete digital PID control system can track a step signal, sine, square wave signal, according to S parameters.
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:785
    • 提供者:lixiangyang
  1. 20HzOut

    0下载:
  2. 用凌阳61单片机,20K方波输出的程序-20k PWM by SPACE61
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:144236
    • 提供者:nijie
  1. lcr_dds(07-23)

    0下载:
  2. 该文件包是DDS信号发生器,包括三角波、方波、锯齿波、正弦波。而且,还可以对频率、幅度、相位进行实时修改。-The package of the DDS signal generator, including triangular, square, ramp, sine wave. Moreover, it can be frequency, amplitude, phase, real-time changes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1224312
    • 提供者:lkh
  1. yejingxianshiPCTC

    0下载:
  2. 产生2Mhz方波信号,是atmega16的CTC模式产生,作为AD9059的时钟信号。还有12864液晶波形显示程序(含液晶驱动)-2Mhz square wave signal generated is atmega16 produced in CTC mode, the clock signal as the AD9059. There are 12864 LCD waveform display program (including LCD driver)
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:3704
    • 提供者:yuqing
  1. ecap_capture_pwm11

    0下载:
  2. 检测方波周期,利用38035的捕获单元捕获PWM波的连续的俩个上升沿,两次捕获的时间差则为PWM波的周期。-Testing cycle square wave。Use 38035 PWM wave capture unit to capture both the continuous rising edge, the time difference was twice captured the cycle PWM wave.
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:223978
    • 提供者:张妍
  1. Untitled3

    1下载:
  2. 周期对称方波信号的傅里叶级数展开 有限项级数来逼近无限项级数-fourier series
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1159
    • 提供者:lee
  1. 1

    0下载:
  2. 在80C51单片机中,已知时钟频率为6MHz,请编程使P1. 0和P1. 1分别输出周期为2S和0. 5S的方波-In the 80C51 microcontroller, the clock frequency is known to 6MHz, please programmed to P1.0 and P1.1 outputs, respectively, for the 2S and 0.5S cycle square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:582
    • 提供者:胡寒冰
  1. chaoshengboceju

    0下载:
  2. 超声波通过发射方波测出与前方障碍物的距离并显示于障碍物的距离-Measured by ultrasonic wave emission side of the obstacle with the front obstacle distance and the distance shown in the
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:44304
    • 提供者:baoguanchuan
  1. 568

    0下载:
  2. 1KHZ方波从P1.0输出0.2秒,接着0.2秒从P1.0输出电平信号,如此循环下去,就形成我们所需的报警声了。-1KHZ square wave output from P1.0 0.2 seconds, followed by 0.2 seconds from the P1.0 output level signal, so the cycle continues, we need to form the sound of the alarm.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:14459
    • 提供者:he tong
  1. fft-test

    0下载:
  2. fft加噪声试验,方波,幅值谱,功率谱等。用IFFT反算信号等-fft and white noise
  3. 所属分类:Algorithm

    • 发布日期:2017-04-03
    • 文件大小:783
    • 提供者:yangchao
  1. pinlvceliangyi

    1下载:
  2. 测量方波频率,范围大小为0到430khz,具有按键发送频率到PC功能-Measuring square wave frequency, the size range of 0 to 430 KHZ, has the keys to send frequency PC function
  3. 所属分类:SCM

    • 发布日期:2014-12-19
    • 文件大小:1024
    • 提供者:xxx
  1. vbWave

    0下载:
  2. VB源码,多媒体技术,波形生成器   VB波形生成示例程序的源码文件,此程序包含了方波、三角波、正弦波等波形的绘制生成,还有随机长生折线波形和自定义绘制波形等等。 根据四个参数生成波形:振幅、周期、偏移量和需要生成的波形类型。 -VB source, multi-media technology, waveform generator waveform generated VB sample program source code files, the program contains
  3. 所属分类:Special Effects

    • 发布日期:2017-04-01
    • 文件大小:3638
    • 提供者:邓硕
  1. ruanjianshiboqi

    0下载:
  2. 可以实现方波,正弦波,三角波,矩形波的示波器,包括全部源文件和仿真图,一步到位!-Can achieve a square wave, sine wave, triangle wave, square wave oscilloscope, including all source files and simulation map, one step!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:64644
    • 提供者:
  1. main

    0下载:
  2. 用PWM方波调节LED亮度P1.1控制LED,低电平点亮K3和K4分别接在P3.4、P3.5,按下时为低电平按K3可调暗LED,按K4可调亮LED-PWM square wave with adjustable LED brightness control P1.1 LED, low light K3 and K4 are connected to the P3.4, P3.5, when pressed by K3 adjustable low dark LED, adjustable by
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1515
    • 提供者:kkkkk
  1. gft

    0下载:
  2. 产生波形的一点代码,通过按键控制,在虚拟示波器切换显示方波、正弦波、三角波、锯齿波-Point waveform generated code, by button control switch in the virtual oscilloscope display a square wave, sine wave, triangle wave, sawtooth
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:73200
    • 提供者:赛金
  1. shudianshiyanbaogao

    0下载:
  2. 占空比可调的方波信号发生器,555型集成时基电路结构、工作原理及其基本应用-Variable duty cycle square wave signal generator, when the base integrated circuit 555-type structure, working principle and basic application
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-07
    • 文件大小:722383
    • 提供者:李腾
  1. MATLAB-and-verilog

    0下载:
  2. 1 采用正弦波,方波进行同步调制,实现调制信号、已调信号、解调信号的波形、频谱以及解调器输入输出信噪比的关系。 2 采用Verilog语言编写有符号的五位乘法器 3 实现数字与模拟调制-A sine wave, square wave synchronous modulation to achieve the modulation signal, the modulated signal, the demodulated signal waveform, spectrum and sig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:558995
    • 提供者:许学真
  1. dds813

    0下载:
  2. DDS波形发生器 正弦波 方波 锯齿波 调频 调相-DDS sawtooth waveform generator sine square wave frequency modulation phase modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:278168
    • 提供者:谢红奎
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com