CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 查找表

搜索资源列表

  1. data_struct9

    0下载:
  2. 数据结构课后设计题第九章 9.26② 试将折半查找算法改写成递归算法。 实现下列函数: int BinSearch(SSTable s, int low, int high, KeyType k) 静态查找表的类型SSTable定义如下:-Data structure design question after class IX 9.26 ② would try to rewrite the binary search algorithm int
  3. 所属分类:Data structs

    • 发布日期:2017-03-30
    • 文件大小:2070
    • 提供者:W.Y.T.S
  1. shunxubiao

    0下载:
  2. (1).输入一组整型元素序列,建立顺序表。 (2).实现该顺序表的遍历。 (3).在该顺序表中顺序查找某一元素,查找成功返回1,否则返回0。 (4).判断该顺序表中元素是否对称,对称返回1,否则返回0。 (5).实现把该表中所有奇数排在偶数之前,即表的前面为奇数,后面为偶数。 (6).输入整型元素序列利用有序表插入算法建立一个有序表。 (7).利用算法6建立两个非递减有序表并把它们合并成一个非递减有序表。 (8).编写一个主函数,调试上述算法。 -shun xu
  3. 所属分类:Data structs

    • 发布日期:2017-03-28
    • 文件大小:1636
    • 提供者:杨杨
  1. AVLdongtaichazhaobiao

    0下载:
  2. 数据结构设计性实验,用平衡二叉树实现的动态查找表,很有用的哦~!站长加点分啦~!-Data structure design of experiments, using balanced binary tree implementation of dynamic look-up table, useful Oh ~! Webmaster add some points 啦 ~! Thank you
  3. 所属分类:Data structs

    • 发布日期:2017-04-06
    • 文件大小:4059
    • 提供者:figo yip
  1. crc

    0下载:
  2. 通过查找表的方法,该函数可以计算出以字节为单位的数据的CRC校验位,可以应用于网络编程中的一些帧的校验位的生成-Through the method of lookup-tabel,this function can generate the crc parity code of bytes,which can be used for network program field
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:1086
    • 提供者:周德强
  1. 16QAM

    0下载:
  2. 16QAM的调制,它把输入的二进制数据以查找表的方式进行映射,从而提高信息传输率。-16QAM modulation, it is the input of binary data to find a way to map the table to enhance information transfer rate.
  3. 所属分类:matlab

    • 发布日期:2017-04-09
    • 文件大小:981
    • 提供者:mickey
  1. crc16

    0下载:
  2. 采用查找表方式 计算CRC16 可直接能用! 网上好多都是半成品-CRC16 calculated using look-up table can be used directly! Many are semi-finished products online
  3. 所属分类:Com Port

    • 发布日期:2017-04-10
    • 文件大小:1136
    • 提供者:乌春华
  1. using_the_LUT_as_distributed_RAM_in_Spartan-3_FPGA

    0下载:
  2. 在 Spartan-3 系列 FPGA 中将查找表用作分布式 RAM-using_the_LUT_as_distributed_RAM_in_Spartan-3_FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7164
    • 提供者:lishiwei
  1. osgglsl

    1下载:
  2. 基于OSG添加OPENGL着色语言,通过读入一个文本格式的颜色查找表来更改当前模型的颜色,有助于用户学习OSG与GLSL相结合-Add OPENGL shading language based on OSG, by reading into a text format color lookup table to change the color of the current model, helps users learn OSG combined with GLSL
  3. 所属分类:OpenGL program

    • 发布日期:2016-11-09
    • 文件大小:4078592
    • 提供者:卉卉
  1. half

    0下载:
  2. 折半查找的算法思想是将数列按有序化(递增或递减)排列,查找过程中采用跳跃式方式查找,即先以有序数列的中点位置为比较对象,如果要找的元素值小于该中点元素,则将待查序列缩小为左半部分,否则为右半部分。通过一次比较,将查找区间缩小一半。 折半查找是一种高效的查找方法。它可以明显减少比较次数,提高查找效率。但是,折半查找的先决条件是查找表中的数据元素必须有序-Binary search algorithm idea is to sequence by ordering (ascending or des
  3. 所属分类:CSharp

    • 发布日期:2017-03-28
    • 文件大小:672
    • 提供者:吕济根
  1. Multiplier

    0下载:
  2. 使用三种不同结构(加法树、查找表、Booth算法)实现的乘法器,带有测试文件。-Use of three different structures (addition tree, look-up table, Booth algorithm) to achieve the multiplier, with testbench files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3815
    • 提供者:马昭鑫
  1. fskpsk

    0下载:
  2. psk信号发生器在这个实验中,需要输入一个整周期内100个采样点的值,没有利用查找表的方法实现,而是直接在程序中输入100个采样点的值。2FSK/2PSK主要包括两部分2FSK信号发生器和2PSK信号发生器。2FSK信号发生器主要有分频器,m序列产生器,跳变检测,正弦信号发生器和DAC几个部分组成。2FSK的关键是通过判断信号跳变是来改变频率的变化,2PSK的关键是通过信号的跳变来改变相位的变化。-psk信号发生器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:372255
    • 提供者:aaa111
  1. PSK

    0下载:
  2. 在这个实验中,需要输入一个整周期内100个采样点的值,没有利用查找表的方法实现,而是直接在程序中输入100个采样点的值。2FSK/2PSK主要包括两部分2FSK信号发生器和2PSK信号发生器。2FSK信号发生器主要有分频器,m序列产生器,跳变检测,正弦信号发生器和DAC几个部分组成。2FSK的关键是通过判断信号跳变是来改变频率的变化,2PSK的关键是通过信号的跳变来改变相位的变化。-psk
  3. 所属分类:matlab

    • 发布日期:2017-05-08
    • 文件大小:1568584
    • 提供者:aaa111
  1. 24bitTo8bit

    0下载:
  2. 24位图像转化为8位图像,使用查找表来实现此功能-24-bit images into 8-bit image, use the lookup table to implement this feature
  3. 所属分类:Picture Viewer

    • 发布日期:2017-04-08
    • 文件大小:733765
    • 提供者:sisi wang
  1. xiaomei3

    0下载:
  2. 介绍了无记忆高功率放大器的非线性特性和常见的各种线性化技术,重点研究了基带查找表法预失真技术,对其进行了FPGA实现-Introduces memoryless nonlinear characteristics of high power amplifier and the common variety of linearization techniques, focus on the base-band pre-distortion lookup table method, techniqu
  3. 所属分类:VHDL编程

    • 发布日期:2014-11-06
    • 文件大小:1440127
    • 提供者:张笑梅
  1. AWG_MATLAB_LUT

    0下载:
  2. 基于单片机(AT89S51)和CPLD(MAX7128)的任意波形发生器的设计——MATLAB生成多种波形查找表的代码-A design of AWG based on MCU(AT89S51) and CPLD(MAX7128)——source code for waveform LUT established by MATLAB
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1820055
    • 提供者:张振
  1. CustomerBillingManagement

    0下载:
  2. 客户帐单管理是电信计费系统必备的重要功能模块,主要负责对电信各类客户每月帐单的增加、修改、删除、查询、备份等管理工作。本课题以中国电信企业客户帐单管理模块原型参照,要求基于单链表结构对文件存储的客户帐单数据进行排序、查找、计算、显示等造作。通过此可以,熟练掌握单链表结构、文件读写、函数调用等知识,以及查找、排序典型算法的设计与应用。-Customer Billing Telecom Billing System management is an important function module
  3. 所属分类:Data structs

    • 发布日期:2017-04-16
    • 文件大小:60928
    • 提供者:yeats
  1. dpdsimulation

    1下载:
  2. 基于matlab的对多项式数字预失真和查找表数字预失真的比较-Matlab-based digital predistortion and of polynomial lookup tables digital predistortion comparison
  3. 所属分类:matlab

    • 发布日期:2014-07-25
    • 文件大小:2222080
    • 提供者:鲁铭铭
  1. DynamicSearchTable

    0下载:
  2. 本实验的动态查找表基本功能有:创建一个动态查找表、销毁一个动态查找表、查找、插入和删除一个关键字、遍历动态查找表。-In this study, the dynamic lookup table basic functions: to create a dynamic look-up table, destroy a dynamic lookup table, search, insert and delete a keyword, traversing the dynamic lookup t
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-17
    • 文件大小:391373
    • 提供者:Sailleaf
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. ROM

    0下载:
  2. Verilog sine的查找表,相信大家会用到-Verilog sine lookup table, I believe we will use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3277
    • 提供者:wuzhongpeng
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com