CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 正弦信号 频率

搜索资源列表

  1. 基于FPGA的直接数字合成器设计

    0下载:
  2. 1、 利用FLEX10的片内RAM资源,根据DDS原理,设计产生正弦信号的各功能模块和顶层原理图; 2、 利用实验板上的TLC7259转换器,将1中得到的正弦信号,通过D/A转换,通过ME5534滤波后在示波器上观察; 3、 输出波形要求: 在输入时钟频率为16KHz时,输出正弦波分辨率达到1Hz; 在输入时钟频率为4MHz时,输出正弦波分辨率达到256Hz; 4、 通过RS232C通信,实现FPGA和PC机之间串行通信,从而实现用PC机改变频率控制字,实现对输出正弦波频率的控制。-a use
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22183
    • 提供者:竺玲玲
  1. xinhaofashengqi.rar

    0下载:
  2. 简易信号发生器,可产生正弦波、方波、三角波,幅度、频率都可调节。,Simple signal generator can produce sine, square, triangle wave, amplitude, frequency adjustment can be.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:243412
    • 提供者:苏维
  1. DSP1.zip

    0下载:
  2. 1)生成2KHz和8KHz的混合信号,使该信号通过上述滤波器,观察滤波前后的波形变化和频谱分布情况。 2) 在改信号中加入高斯白噪声,观察滤波前后的波形变化和频谱分布情况3) 将上述滤波器改为中心频率为100KHz的带通滤波器,信号源为带外的90KHz和带内的100KHz的混合正弦信号,。 4) 用M文件实现上述低通滤波器。 ,1) 2KHz and 8KHz to generate the mixed-signal, so that the signal through the abov
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:62399
    • 提供者:ran
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. DSP_sin

    0下载:
  2. 使用DSP产生300—4000HZ的正弦信号,要求使用计算法,并且频率可变、幅度可变、直流分量可变。用软件CCS5000编程实现,并硬件(DSK板或示波器)连接进行功能演示。 发挥部分:使用DSP产生300—4000HZ的方波和三角波。 -Using DSP to produce 300-to 4000HZ the sine signal, require the use of calculation method, and a variable frequency, amplitude
  3. 所属分类:DSP program

    • 发布日期:2017-04-24
    • 文件大小:397317
    • 提供者:liulei
  1. main

    0下载:
  2. 传统的宽带信号中抑制正弦干扰的方法是采用陷波器(notch filter),为此我们需要精确知道干扰正弦的频率.然而当干扰正弦频率是缓慢变化时,且选频率特性要求十分尖锐时,则最好采用自适应噪声抵消的方法.用一个二阶FIR的LMS自适应滤波器消除正弦干扰的一个方案。 -Wideband signals in the traditional sinusoidal interference suppression method is to use a notch filter (notch fil
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-24
    • 文件大小:1045
    • 提供者:wangming
  1. dp

    0下载:
  2. 正弦波,三角波,方波信号发生器程序,C语言编写,频率可调-Sine wave, triangle wave, square wave signal generator process, C language, frequency adjustable
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:2375
    • 提供者:李玉辰
  1. AD9851

    0下载:
  2. 该程序是基于51单片机和AD9851的信号发生器,通过按键输入信号频率并显示于屏幕,然后计算出频率字发送至AD9851,则AD9851将产生相应频率正弦波。-The program is 51 microcontroller and AD9851 signal generator through the key input signal frequency and displayed on the screen, and then calculate the frequency of words
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:24120
    • 提供者:吴平
  1. sin_vhdl

    0下载:
  2. 由可编程器件控制的信号发生器可输出正弦波、方波、锯齿波,其频率可调。能输出正 弦波、方波、锯齿波的组合波形,且组合波形的频率可调。还能输出占空比和频率可调的方 波。-Controlled by a programmable device signal generator can output sine wave, square wave, sawtooth wave, its frequency is adjustable. Be able to output sine wave, sq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:135434
    • 提供者:chen
  1. zhengxianpinpufenxi

    0下载:
  2. 对正弦信号进行简单的频谱分析,变换采样频率和信号持续时间-A simple sinusoidal signal spectrum analysis, changing the sampling frequency and signal duration
  3. 所属分类:matlab

    • 发布日期:2017-05-08
    • 文件大小:1708297
    • 提供者:chutianqi
  1. TMSX28xx

    0下载:
  2. X28xx功能单元使用.doc 例1、初始化锁相环及外设时钟函数 例2、.cmd格式文件举例 例3、定时器中断应用举例 例4、利用事件管理器输出多种频率的正弦信号输出例程 例5、SPI和DAC TLV 5617接口例程 例6、CAN总线消息发送例程 例7、使用FIFO缓冲发送数据 例8、使用FIFO缓冲接收数据 例9、ADC应用举例 -Functional unit X28xx use. Doc Cases 1, phase-locked
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:32852
    • 提供者:haoz
  1. clk

    0下载:
  2. 现代电子系统课程设计 基于DDS技术利用VHDL设计并制作一个数字式移相信号发生器。 (1)基本要求: a.频率范围:1Hz~4kHz,频率步进为1Hz,输出频率可预置。 b.A、B两路正弦信号输出,10位输出数据宽度 c.相位差范围为0~359°,步进为1.4°,相位差值可预置。 d.数字显示预置的频率(10进制)、相位差值。 (2)发挥部分 a.修改设计,增加幅度控制电路(如可以用一乘法器控制输出幅度)。 b.输出幅度峰峰值0.1~3.0V,步距0
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-28
    • 文件大小:174787
    • 提供者:耳边
  1. 29782184

    0下载:
  2. 函数信号发生器 本系统能够产生正弦波、方波、三角波。同时还可以作为频率计测频率。函数信号的产生由MAX038和外围电路完成,能产生1Hz—20MHz的波形。波形选择由单片机完成。输出或输入频率经74HC390分频后,由单片机完成自动频率检测显示。 关键词:波形产生器、频率计、MAX038、74HC390、AT89S51。 -Function signal generator of the system can produce sine, square, triangular wave.
  3. 所属分类:assembly language

    • 发布日期:2017-04-28
    • 文件大小:95629
    • 提供者:张一
  1. FFTshow

    0下载:
  2. 可产生矩形脉冲和正弦信号,矩形脉冲的脉宽可以改变,正弦信号则可以改变频率和时宽-Can produce rectangular pulse and sinusoidal signal, the rectangular pulse width can be changed, sinusoidal signal can be changed when the frequency and width
  3. 所属分类:Algorithm

    • 发布日期:2017-04-10
    • 文件大小:1123
    • 提供者:lb
  1. ddszh

    0下载:
  2. 基于FPGA的DDS正弦信号发生器,信号失真小,频率稳定,可调-FPGA DDS shuzhi xinhao
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:328706
    • 提供者:wzy
  1. freqm

    0下载:
  2. 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realize
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1054140
    • 提供者:tom
  1. unsigned

    0下载:
  2. 大学生完成电子设计全过程 题目的任务是计、制作一个可分析音频信号频率成分,并可测量正弦信号失真度的仪器。模拟部分的要求是:(1)输入阻抗:50Ω (2)输入信号电压范围(峰-峰值):100mV~5V;(3)输入信号包含的频率成分范围:200Hz~10kHz。数字部分的要求是:(1)20Hz分辨力的频谱分析;(2)信号各分量功率测量;(3)信号失真度测量。-University Students finish the whole process of electronic design
  3. 所属分类:CSharp

    • 发布日期:2017-05-11
    • 文件大小:2065720
    • 提供者:陆诚
  1. vhdl

    0下载:
  2. 信号与线性系统的时频域分析:观测已知方波信号、正弦波信号的频谱;观测实时模拟信号的频谱;加深理解时域周期信号的各频率分量在振幅频谱图上所占的比重;观测相位在波形合成中的作用;LTI系统的频域分析,LTI系统对周期性输入信号的响应。-Signals and linear systems with time-frequency domain analysis: observation known square wave signal, sine wave signal spectrum obser
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:7521
    • 提供者:无语
  1. NNapply1

    0下载:
  2. 利用线性神经网络对某一正弦信号进行线性预测。利用函数newlind设计线性神经网络, 在已知正弦信号过去5个值得情况下,预测其将来值。 定义需要的信号,共持续5s,采样频率40Hz-Using linear neural network to a sinusoidal signal for linear prediction. Newlind design using a linear function of neural networks, known sinusoidal
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-02
    • 文件大小:618
    • 提供者:
  1. VirtualWAVE

    0下载:
  2. 用VC++实现的虚拟示波器 1 单击“示波”按钮,可以显示一条动态的正弦曲线,及信号的幅值和频率 2 单击“调幅”旋钮,该旋钮发生旋转,正弦曲线的幅值和相关的幅度坐标系也发生变化 3 单击“调频”旋钮,该旋钮发生旋转,正弦曲线的频率发生相应变化 -Using VC++ to achieve a virtual oscilloscope click " Oscillographic" button, you can display a dynamic sinus
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:421990
    • 提供者:蜗牛
« 1 2 3 4 56 7 8 9 10 ... 24 »
搜珍网 www.dssz.com