CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 正弦信号 频率

搜索资源列表

  1. VirtualSignalGeneratoring

    3下载:
  2. 虚拟信号发生器是一个GUI产生(1)周期函数(包括正弦,三角,锯齿,和方波)不同振幅,频率和阶段 (2)不同振幅平方脉冲序列,频率,分期及职责循环 (3)双参数指数函数 (4)高斯曲线之间的范围不同,标准偏差和手段,以及(5)不同幅度的高斯噪声。你也可以添加一个偏移或高斯噪声的前四个以上任何职能。图形用户界面还能使用户可以添加指定的信号(或者乘以它的)一个先前定义的信号。 -Virtual Signal Generator is a GUI that produces (1) periodi
  3. 所属分类:Wavelet

    • 发布日期:2017-03-28
    • 文件大小:15347
    • 提供者:young
  1. lvbohou

    0下载:
  2. 正弦信号,单个频率的正弦信号,频率为1KHz,幅度为5-Sinusoidal signal, a single frequency sinusoidal signal, a frequency of 1KHz, the range of 5
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:865
    • 提供者:蔡银平
  1. DigitalSignalGenerator

    1下载:
  2. 多功能信号发生器,实现4种常见波形正弦波、三角波、锯齿波、方波的功能。并且输出信号的频率范围为100Hz~200KHz,输出频率可以调节;可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出,具有显示输出波形、频率的功能。-Digital Signal Generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-16
    • 文件大小:79065
    • 提供者:smile
  1. scm_match

    0下载:
  2. 数字频率计的设计 1、设计正弦信号发生器,峰-峰值固定4V,频率范围1HZ~10KHZ可变 2、测量并LCD(或者数码管)数显正弦信号的频率,频率相对误差控制在1 -The design of a digital frequency meter, design sinusoidal signal generator, Peak- Peak fixed 4V, the frequency range 1HZ ~ 10KHZ Variable 2, measurement an
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:548582
    • 提供者:罗永
  1. adfilter

    0下载:
  2. 设计一个3阶低通切比雪夫滤波器,对信号发生器产生的频率为1KHZ的正弦信号进行实时滤波,将结果通过示波器显示,并与滤波前的波形进行对比。-Design a 3-order low-pass Chebyshev filter, the signal generator' s frequency sinusoidal signal 1KHZ real-time filtering, the results shown by the oscilloscope, and waveform with
  3. 所属分类:DSP program

    • 发布日期:2017-04-05
    • 文件大小:2128
    • 提供者:欢欢牛
  1. dqtransform

    1下载:
  2. 对频率、幅值可变的正弦信号进行dq变换的Matlab仿真-Variable-amplitude variable- frequency sinusoidal signals dq transformation
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-14
    • 文件大小:5335
    • 提供者:李广
  1. fir

    0下载:
  2. DES3200实验系统的模拟信号输出通道产生一个1KHz 的方波,然后利用信号输入通道对产生的方进行低通滤波,得到一个1KHz 的正弦信号,并使用CCS 的图形显示工具显示输入和输出的波形。这里我们使用的是一个46阶的对称结构的FIR低通滤波器,其采样频率Fs为25KHZ,通带截止频率 1KHZ,阻带截止频率为3KHZ,阻带衰减为-60dB。-DES3200 experimental system analog output channel generates a 1KHz square wav
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:11265
    • 提供者:杨美美
  1. xinhaofashengqi

    0下载:
  2. 基于CPLD的可变频率正弦信号发生器设计,方便初学者学习FPGA和CPLD-CPLD-based variable frequency sinusoidal signal generator design, easy for beginners to learn FPGA and CPLD
  3. 所属分类:Console

    • 发布日期:2017-04-16
    • 文件大小:34176
    • 提供者:秦雨
  1. ad9850

    0下载:
  2. AD9850的控制程序,用于产生各种频率的正弦信号-AD9850 control program, used to generate sinusoidal signals of various frequencies
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:737
    • 提供者:godspeed
  1. SPI

    0下载:
  2. SPI串行输出控制DA变换,实现函数信号发生器的功能,有方波,正弦波,三角波三种可调,输出波形,频率都是可调的!-SPI serial output control DA conversion, to achieve the function signal generator functions, well-wave, sine wave, triangle wave of three adjustable, output waveform, frequency is adjustable!
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:137272
    • 提供者:许万清
  1. BoXingFaSheng

    0下载:
  2. 多功能波形发生器VHDL程序与仿真 功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节量
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:10019
    • 提供者:梁辰
  1. zhengxianbo

    0下载:
  2. 对正弦波信号进行混频,分解,采用傅立叶变换来分析其频率-Mixing of sinusoidal signals, decomposition, using Fourier transform to analyze the frequency
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:1252
    • 提供者:王山
  1. lsm

    0下载:
  2. 数字信号处理 传统的宽带信号中抑制正弦干扰的方法是采用陷波器(notch filter),为此我们需要精确知道干扰正弦的频率.然而当干扰正弦频率是缓慢变化时,且选频率特性要求十分尖锐时,则最好采用自适应噪声抵消的方法.下图是用一个二阶FIR的LMS自适应滤波器消除正弦干扰的一个方案。-DSP
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:776
    • 提供者:zhaowei
  1. fskpsk

    0下载:
  2. psk信号发生器在这个实验中,需要输入一个整周期内100个采样点的值,没有利用查找表的方法实现,而是直接在程序中输入100个采样点的值。2FSK/2PSK主要包括两部分2FSK信号发生器和2PSK信号发生器。2FSK信号发生器主要有分频器,m序列产生器,跳变检测,正弦信号发生器和DAC几个部分组成。2FSK的关键是通过判断信号跳变是来改变频率的变化,2PSK的关键是通过信号的跳变来改变相位的变化。-psk信号发生器
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:372255
    • 提供者:aaa111
  1. PSK

    0下载:
  2. 在这个实验中,需要输入一个整周期内100个采样点的值,没有利用查找表的方法实现,而是直接在程序中输入100个采样点的值。2FSK/2PSK主要包括两部分2FSK信号发生器和2PSK信号发生器。2FSK信号发生器主要有分频器,m序列产生器,跳变检测,正弦信号发生器和DAC几个部分组成。2FSK的关键是通过判断信号跳变是来改变频率的变化,2PSK的关键是通过信号的跳变来改变相位的变化。-psk
  3. 所属分类:matlab

    • 发布日期:2017-05-08
    • 文件大小:1568584
    • 提供者:aaa111
  1. dfefe.doc

    0下载:
  2. 该高频正弦信号发生器基于直接数字频率合成(DDS)和数字锁相环技术(DPLL),以微控制器(MCU)和现场可编程逻辑门阵列(FPGA)为核心,辅以必要的外围电路设计而成。系统主要由正弦信号发生、红外遥控、高速模数(A/D)-数模(D/A)转换、信号调制和后级处理等模块组成。-The high-frequency sinusoidal signal generator based on Direct Digital Synthesis (DDS) and digital PLL (DPLL), a
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:244018
    • 提供者:henry
  1. fft

    0下载:
  2. 对正弦信号进行傅里叶变化,然后估计频率,在不同信噪比下画出均值方差的图-In the data process the measured complex time signal is converted into frequency signal by DFT to get vibration information regarding frequency and amplitude of the rotor
  3. 所属分类:Algorithm

    • 发布日期:2017-04-04
    • 文件大小:5415
    • 提供者:路静
  1. 6.8

    0下载:
  2. 函数发生器程序框图 设定信号频率5Hz 信号幅度1V,采样频率1000Hz 采样点数1000,选择生成正弦波-Basic Function Generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:18377
    • 提供者:郑国喜
  1. FFtandIfftTest

    1下载:
  2. Matlab编程实现FFT变换及频谱分析的程序代码(适合初学者加强理解)。(1)用Matlab产生正弦波,矩形波,以及白噪声信号,并显示各自时域波形图;(2)进行FFT变换,显示各自频谱图,其中采样率,频率、数据长度自选;(3)做出上述三种信号的均方根图谱,功率图谱,以及对数均方根图谱;(4)用IFFT傅立叶反变换恢复信号,并显示恢复的正弦信号时域波形图。-Matlab codes for FFT Understanding which contains sine wave,rectangula
  3. 所属分类:matlab

    • 发布日期:2017-03-25
    • 文件大小:1065
    • 提供者:茅瑜雯
  1. DDS

    1下载:
  2. 不同频率正弦信号产生,可用于生成DDS中的ROM-Sinusoidal signals of different frequencies generated can be used to generate the ROM DDS
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:596
    • 提供者:fanqi
« 1 2 3 4 5 6 78 9 10 11 12 ... 24 »
搜珍网 www.dssz.com