CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 正弦波 方波

搜索资源列表

  1. book

    0下载:
  2. 数字示波器 可以自动获取捕捉正弦波 余弦波 三角波 方波 锯齿波等 各种波形 并自动转化为数字形式在LED屏幕上显示-Digital oscilloscope can automatically obtain the capture cosine sine wave square wave triangle wave sawtooth waveform, etc. and automatically converted to digital form in the LED screen
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:6599
    • 提供者:qinyang
  1. software

    0下载:
  2. AT89S52单片机驱动AD9833产生信号,三角、正弦、方波均可。-AT89S52-driven single-chip AD9833 produced signal, triangle, sine, square wave can be.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:61796
    • 提供者:lxy
  1. bxfsq

    0下载:
  2. 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16831
    • 提供者:李仁刚
  1. 29782184

    0下载:
  2. 函数信号发生器 本系统能够产生正弦波、方波、三角波。同时还可以作为频率计测频率。函数信号的产生由MAX038和外围电路完成,能产生1Hz—20MHz的波形。波形选择由单片机完成。输出或输入频率经74HC390分频后,由单片机完成自动频率检测显示。 关键词:波形产生器、频率计、MAX038、74HC390、AT89S51。 -Function signal generator of the system can produce sine, square, triangular wave.
  3. 所属分类:assembly language

    • 发布日期:2017-04-28
    • 文件大小:95629
    • 提供者:张一
  1. signal

    0下载:
  2. 信号产生labview源文件,产生正弦、方波、三角波等信号-Signal Generator LabVIEW source files, producing sine, square, triangle-wave signal
  3. 所属分类:source in ebook

    • 发布日期:2017-04-16
    • 文件大小:10041
    • 提供者:陈成功
  1. signal_generator

    0下载:
  2. 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:784
    • 提供者:tony
  1. wave

    0下载:
  2. 一款模拟正弦波,方波等函数的波形发生器。设置好起始频率、结束频率以及周期即可开始演示波形曲线。你可以调整采样、缓冲,增益调节,振荡器等参数进行修正。这个代码附带的几个控件不错,有点像工控组件,如旋钮,开关,LED显示-A simulated sine wave, square wave function, such as the waveform generator. Set up the starting frequency, ending frequency, and waveform pr
  3. 所属分类:Wavelet

    • 发布日期:2017-03-29
    • 文件大小:322079
    • 提供者:wang
  1. DA

    0下载:
  2. TMS320LF2407键盘与DA转换综合控制源码 键盘0,1,2,3分别使得DA转换产生方波,三角波,正弦波,锯齿波。-TMS320LF2407 keyboard with integrated control DA converters 0,1,2,3-source keyboard makes DA conversion separately generated square wave, triangle wave, sine wave, sawtooth wave.
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:6222
    • 提供者:baoyu
  1. resizeofapp

    0下载:
  2. 用VC++做的画图软件,可以用来学习如何画图,有正弦波,三角波,方波例子-VC++ to do with the drawing software, can be used to learn how to draw, there is sine wave, triangle wave, square wave example
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-28
    • 文件大小:127664
    • 提供者:Joy
  1. PIDSimulation

    0下载:
  2. 针对离散系统的阶跃信号、正弦信号和方波信号,设计离散PID-Step for the discrete-time systems signal, sinusoidal signal and the square wave signal, the design of discrete PID
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:694
    • 提供者:陈强
  1. sin

    0下载:
  2. 简易正弦波\三角波\方波发生器,使用keil C51,12MHZ晶振,AT89C2051-Simple sine wave \ triangle wave \ square-wave generator, use keil C51, 12MHZ crystal, AT89C2051
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1860
    • 提供者:张励志
  1. WJKS

    0下载:
  2. 该程序实现了微机控制的可以产生正弦波、三角波、方波,并可以使用键盘对其操作-The program can be controlled by a computer generated sine wave, triangle wave, square wave, and can use the keyboard to its operation
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1342
    • 提供者:gmaj
  1. LAB6_1

    0下载:
  2. 51单片机上的信号发生器,产生三角波,方波,正弦波等各种波形的汇编代码。-51 single-chip signal generator to produce the triangular wave, square wave, sine wave such as the assembly code.
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:535
    • 提供者:siubr
  1. wave

    0下载:
  2. 能够实现基本波形(正弦,方波等)的显示功能,有频率和幅度变量的控制。-To achieve the basic waveforms (sine, square, etc.) display, a variable frequency and amplitude control.
  3. 所属分类:Audio program

    • 发布日期:2017-04-01
    • 文件大小:12160
    • 提供者:吴梅
  1. xiaobofenjie

    0下载:
  2. 有关小波分解和小波重构方面的。用两个正弦波做例子验证的。-I think it can be use the signal processing.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:910
    • 提供者:王兵
  1. shuzipinlvji

    0下载:
  2. 本数字频率计将采用定时、计数的方法测量频率,采用一个1602A LCD显示器动态显示6位数。测量范围从1Hz—10kHz的正弦波、方波、三角波,时基宽度为1us,10us,100us,1ms。用单片机实现自动测量功能。-This digital frequency meter will use fixed time, the counting method survey frequency, uses 1602The LCD monitor dynamic to demonstrate 6 fi
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:1644
    • 提供者:邱晓波
  1. Virtual_Oscilloscope

    0下载:
  2. 采用MFC实现的虚拟示波器界面,类似于常见的数字示波器显示面板; 可显示方波、正弦波及三角波三种波形不同参数的信号波形 对于方波可设置方波周期、占空比、幅度等参数; 对于正弦波可设置频率、幅度、相位参数; 对于三角波可设置周期、幅度参数; 三种波形可以同时存在,且所有波形均会随时间动态移动-MFC using the achievement of the virtual oscilloscope interface, similar to common digital osc
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:53914
    • 提供者:linlookfor
  1. wave_generator

    0下载:
  2. 基于cycloneII的信号发生器,产生正弦波、方波、三角波,人机界面十分友好,可方便地进行波形切换-CycloneII based on the signal generator to produce sine wave, square wave, triangle wave, a very friendly man-machine interface can be easily switched waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1429278
    • 提供者:henry
  1. dds

    0下载:
  2. DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,"C"文件夹内,是用于在 51 单片机上运行的 C语言程序, "Verilog"文件夹内,是用Verilog语言编写的 FPGA 程序-ewfreytrgrwf reggwrter rgterthhrgdfs rgdgf egrthg rgreaf rtgerf srfefsf frafgsf frghrsrgwgt
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:28372
    • 提供者:nbonwenli
  1. xinhao001

    0下载:
  2. 产生正弦波、方波、锯齿波,频率可调,方波占空比可调-Generated sine wave, square wave, sawtooth wave, frequency adjustable, adjustable duty cycle square wave
  3. 所属分类:SCM

    • 发布日期:2017-05-11
    • 文件大小:2963505
    • 提供者:wangyang
« 1 2 3 4 56 7 8 9 10 ... 42 »
搜珍网 www.dssz.com