CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 状态机

搜索资源列表

  1. FSM

    0下载:
  2. 文章介绍的状态机的优化写法,并给出经典实例。使读者更清楚的明了FPGA中状态机的优点,以便工程中的使用。-This paper introduces the optimization of state machines written, and gives the classic example. So that readers understand more clearly the advantages of FPGA in the state machine to the project u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:296835
    • 提供者:leo wong
  1. dir3

    0下载:
  2. VERILOG 语言写的使用状态机实现奇数分频-VERILOG language is written by the state machine to implement an odd number of points frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:108637
    • 提供者:li
  1. Time_Triggered_system

    0下载:
  2. 基于51单片机的状态机的多任务处理教你如何写程序很实用 -51 single-chip state machine based multi-tasking to teach you how to write useful programs
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:22256
    • 提供者:陈水广
  1. State-machine-clock

    0下载:
  2. 状态机思想写的51单片机驱动的时钟,含ROTEUS仿真文件-Idea to write state machine 51 microcontroller-driven clock, simulation files containing ROTEUS
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:155588
    • 提供者:renwocai
  1. LQB G7

    0下载:
  2. 蓝桥杯第七届国赛代码(状态机扫描矩阵键盘、中断数码管显示、软件IIC、片内EEPROM、带DS18B20\DS1302)(Blue Bridge Cup seventh Tournament (state machine code scan matrix keyboard interrupt, digital display, IIC software, EEPROM, chip with DS18B20\DS1302))
  3. 所属分类:单片机开发

    • 发布日期:2017-12-20
    • 文件大小:190464
    • 提供者:YSfreedom
  1. jtag fsm

    1下载:
  2. jtag接口的状态机实现,李庆华《通信IC设计》随机代码(State machine implementation of JTAG interface)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:2048
    • 提供者:xilingsnow
  1. LabVIEW状态机实用课程

    0下载:
  2. 介绍labview中常用到的技术——状态机以及附带的相关源码(Describes the commonly used technology in LabVIEW - state machine, as well as the associated source code)
  3. 所属分类:LabView编程

    • 发布日期:2017-12-16
    • 文件大小:1343488
    • 提供者:zht01
  1. 状态机

    0下载:
  2. 简单的状态机,按下按钮可在4个状态间进行切换(simple state machine)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-11-21
    • 文件大小:164864
    • 提供者:tyne
  1. jiaotongdeng

    0下载:
  2. 基于VHDL状态机的交通灯设计(已仿真下载实验板测试)(Traffic light design based on VHDL state machine (simulation download, experimental board test))
  3. 所属分类:VHDL/FPGA/Verilog

  1. C language state machine

    0下载:
  2. C语言状态机 用状态机原理进行软件设计 摘要:本文描述状态机基础理论,以及运用状态机原理进行软件设计和实现的方法。 关键词:有限状态机 层次状态机 面向对象分析 行为继承(C language state machine)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-24
    • 文件大小:192512
    • 提供者:jerry0755
  1. Microsoft.Activities.StateMachine

    0下载:
  2. 使用window状态机实现简单的请假审批流程(Use state machine to achieve approval process)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-19
    • 文件大小:488448
    • 提供者:wugang22
  1. 一段式有限状态机

    0下载:
  2. 通过找hello结束后,控制led的翻转(After you look for Hello, control the LED flip)
  3. 所属分类:其他

    • 发布日期:2017-12-30
    • 文件大小:14336
    • 提供者:曾今的1994
  1. design

    0下载:
  2. 使用有限状态机完成序列检测,是FPGA开发中的基础程序(sequence detection with state mation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:161792
    • 提供者:tc280
  1. state_machine

    0下载:
  2. 同样是简单的MAX II编程,状态机顾名思义,0到8的循环显示,用到了数码管。(The same is a simple MAX II programming, the state machine as its name suggests, 0 to 8 of the cycle display, using the digital tube.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:192512
    • 提供者:游子游荡
  1. Mealy_TrafficLight

    0下载:
  2. 基于FPGA交通控制器的Mealy状态机实现(Mealy state machine controller based on FPGA traffic)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:265216
    • 提供者:9901tzh
  1. simple state machine

    0下载:
  2. 使用labview开发环境,对经典状态机功能完成实现(simple state machine)
  3. 所属分类:LabView编程

    • 发布日期:2018-01-03
    • 文件大小:15360
    • 提供者:ghbit
  1. FiniteStateMachine

    0下载:
  2. 一个可以识别正则表达式的状态机,采用了多种Case描述,方便修改(A finite state machine designed for identifying expression patterns)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:140288
    • 提供者:BXYMartin
  1. 4

    0下载:
  2. 设计一个轨道交通自动售票电路,只接受1,2,5元人民币,每张票价定额5元,并支持找零。要求: (1)用状态机方法设计;(Design an automatic rail transit ticketing circuit, accepting only 1, 2, 5 yuan, 5 yuan per ticket, and support change. Requirements: (1) design with state machine method;)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:16384
    • 提供者:LIMBO2K
  1. 状态机

    0下载:
  2. 设计一个简单的数字电路用于电子卖报机,要求如下: 报纸价格为1.5元;投币器只接受5角和1元硬币;投币器不找零。当投入金额合适时,报纸出口打开,否则关闭。用Verilog完成设计。(The design of a simple digital circuit for electronic selling machine, the following: The price is 1.5 yuan; the coin only accept 5 cents and $1 coin coin do
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:1024
    • 提供者:victorzn
  1. fsm3

    0下载:
  2. verilog状态机实验,说明一个状态机的生成过程(Verilog state machine experiment, which illustrates the generation process of a state machine)
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:203776
    • 提供者:guosir
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com