CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 状态机

搜索资源列表

  1. FPGA控制AD程序,ADC,DAC转换接口

    2下载:
  2. FPGA控制AD程序,ADC,DAC转换接口.rar 有限状态机控制AD采样.rar,FPGA control AD procedure
  3. 所属分类:VHDL编程

    • 发布日期:2016-12-23
    • 文件大小:269105
    • 提供者:黄群
  1. fsm

    1下载:
  2. 有限状态机工作原理、设计方法、步骤等精要说明-Finite state machine working principle, design method, such as Essentials of steps to explain
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:3187520
    • 提供者:www
  1. horse_light4

    0下载:
  2. 六种花样的流水灯,从左至右,从右至左,中间向两边,两边向中间,跳格闪烁等。verilog语言编写; 并且扩展容易; 有两个状态机构成实现。quartus 9.0和7.1仿真通过。无错误,无警告。-Six kinds of patterns of flowing water lights, from left to right, from right to left, in the middle to both sides, both sides toward the middle, ju
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:70009
    • 提供者:tangjieling
  1. fsm

    0下载:
  2. FSM状态机器,是关于网络编程的,大家下载看看哦,挺好的,不好的话,不会上传的,谢谢大家的支持-socket describe think! you can download have a look at,also you can gei me some advise,we will do better ,think!!socket describe think! you can download have a look at,also you can gei me some advise,we
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-04-09
    • 文件大小:1063349
    • 提供者:士大夫
  1. state

    0下载:
  2. 简单的状态机,有8个状态,数码管输出当前状态的编号(A simple state machine, there are eight state, digital tube output the serial number of the current state)
  3. 所属分类:其他

    • 发布日期:2018-04-19
    • 文件大小:3098624
    • 提供者:qing wang
  1. qpc4.0.00

    0下载:
  2. 本文档是UML事件驱动状态机介绍文档,基于量子编程的qp状态机,qpc4.0.00.zip是源代码。可以使用它来取代RTOS和前后台系统。实时性完全可以和RTOS媲美。了解它之后肯定会对你的编程思想有很大启发。了解过cc2530 z-stack协议栈的人看到它会非常亲切,因为z-stack协议栈和qp非常类似(This document is an introduction to a UML event driven state machine, a QP state machine based
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-21
    • 文件大小:10519552
    • 提供者:taoking_lhkf
  1. testSta状态机对应代码

    0下载:
  2. 有限状态机又称有限状态自动机,简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。它反映从系统开始到现在时刻的输入变化,转移指示状态变更,并且用必须满足来确使转移发生的条件来描述它;动作是在给定时刻要进行的活动的描述。(Establish basic finite state machine)
  3. 所属分类:Windows编程

  1. interfaceswitch

    0下载:
  2. ARDUINO硬件台平的界面跳转库(C++类库)。可用于界面设计;菜单设计;状态机管理等。提供详细说明与例程。可用PROTEUS仿真+VDSM脱离硬件查看效果。(ARDUINO hardware platform flat interface jump Library (C++ Class Library). It can be used for interface design, menu design, state machine management and so on. Provide
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-30
    • 文件大小:20480
    • 提供者:changser
  1. UART发送接收奇偶校验

    1下载:
  2. 状态机,串口收发,以及奇偶校验。 even_parity.v奇偶校验; receive_byte.v字节接收; send_byte.v字节发送(state machine,UART even_parity.v even parity; receive_byte.v receiving byte; send_byte.v sending byte)
  3. 所属分类:串口编程

    • 发布日期:2018-04-29
    • 文件大小:2048
    • 提供者:陈宇晨
  1. key

    0下载:
  2. 矩阵按键识别,状态机实现,很好的参考资料(Matrix key identification, state machine implementation, good reference data)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:40960
    • 提供者:msgs
  1. ztj

    0下载:
  2. 底层基本逻辑单元实现状态机的功能,根据不同的控制位实现状态转化(Basic logic unit realizes state machine function and realizes state transformation according to different control bits)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:1969152
    • 提供者:ltfy咖啡
  1. Labview状态机架构模板

    1下载:
  2. 想使用labview状态机架构的小伙伴们,附件提供了使用模块让你快速入门!
  3. 所属分类:LabView编程

  1. 状态机+对话框模式

    0下载:
  2. 基于状态机的labview简单界面 主要为利用对话框结构对布尔灯进行不同模式的操作(LabVIEW simple interface based on state machine)
  3. 所属分类:LabView编程

    • 发布日期:2018-05-03
    • 文件大小:20480
    • 提供者:解决106288
  1. FiniteStateMachine

    0下载:
  2. 使用VHDL实现的有限状态机的ISE工程 ise版本14.7(Finite State Machine based on VHDL)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:116736
    • 提供者:richugh
  1. 取款机状态机汇总

    1下载:
  2. 一个基于labview搭建的取款机程序,让更多labview编程者增强对状态机、消费者模式的理解。(An ATM program based on LabVIEW allows more LabVIEW programmers to enhance their understanding of state machines and consumer models.)
  3. 所属分类:金融证券系统

    • 发布日期:2020-06-20
    • 文件大小:303104
    • 提供者:晚风闲闲
  1. drivers

    1下载:
  2. 状态机方式实现的GPRS的AT指令集流程控制,能实现SSL功能的TCP连接(The AT instruction set flow control of GPRS implemented by the state machine mode can realize TCP connection of SSL function.)
  3. 所属分类:其他

    • 发布日期:2019-10-17
    • 文件大小:7168
    • 提供者:明几时有
  1. Subsystem_Test

    1下载:
  2. 一个matlab水冷系统的状态机例子,有信号激励(事件和值),有数值输出。(An example of a state machine for a matlab water cooling system.)
  3. 所属分类:matlab例程

    • 发布日期:2019-03-11
    • 文件大小:18432
    • 提供者:一狼大哥哥
  1. ourdev_429803

    1下载:
  2. 基于STM8的状态机按键程序,长短按功能,双击功能(STM8 based state machine keypad program, function, double click function)
  3. 所属分类:其他

    • 发布日期:2019-10-08
    • 文件大小:319488
    • 提供者:COWLEE
  1. FSM状态机verilog代码

    1下载:
  2. 能实现状态转换、移位功能的状态机,使用verilog代码编写,能通过modelsim编程实现。
  3. 所属分类:VHDL编程

  1. 《游戏人工智能编程案例精粹(修订版)》

    0下载:
  2. 主要讲述如何使游戏中的角色具有智能的技术。讲述状态机的实现、路径规划、目标驱动、触发器与模糊逻辑等技术在游戏中的运用。(It mainly describes how to make the characters in the game have intelligent technology. This paper introduces the implementation of state machine, path planning, goal driven, trigger and fuz
  3. 所属分类:游戏

    • 发布日期:2021-01-22
    • 文件大小:56939520
    • 提供者:Sircam
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com