CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 秒表

搜索资源列表

  1. miaobiao

    0下载:
  2. 秒表,这是一个用数码管写的秒表,可以跑到9999。希望可以帮助大家。-Stopwatch, which is written in a tube with a digital stopwatch, you can go to 9999. Hope can help you.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:1373
    • 提供者:黄大
  1. Stopwatch-program-based-on-single-chip

    0下载:
  2. 基于单片机的秒表程序(已经仿真实现)keil仿真通过了-MCU-based stopwatch program (already Simulation) keil simulation adopted
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:99478
    • 提供者:liu
  1. miaob

    0下载:
  2. 电子秒表,FPGA实现,本科某课程设计,程序注释非常详细,-FPGA TIME-COUNTING
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:507583
    • 提供者:郑锦涛
  1. shuzimiaobiao

    0下载:
  2. 题目 电子秒表模拟程序设计 一、设计目的:掌握定时/计数器8253和中断的使用。 二、设计任务:编写汇编程序,在PC机上完成电子秒表功能。 -Subject of electronic stopwatch simulator designed, designed to: master the timer/counter 8253 and interrupted use. Second, the design task: the preparation of assembler, th
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:4265
    • 提供者:田有林
  1. miaobiao

    0下载:
  2. 8位数码管秒表程序,51单片机例程,带有实验说明文档。-8-bit digital tube stopwatch program, 51 MCU routine, with the experimental documentation.
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:17163
    • 提供者:t6100
  1. Timer

    0下载:
  2. 假定系统时钟为50MHz,试设计一个电子秒表电路,使其按0.01s 的步长进行计时。该电子秒表具有异步清零和启动/停止计数功能,最大能计到59.99s,并用数码管显示计数值。用发光二极管显示向分钟的进位信号。-Assume that the system clock to 50MHz, the design of an electronic stopwatch test circuit, so the step by 0.01s to time. The electronic stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:530344
    • 提供者:邓云鹏
  1. digital_second_clock

    0下载:
  2. 设计一块数字秒表,能够精确反映计时时间,并完成复位、计时功能。-Design a digital stopwatch, the time to accurately reflect the time and complete the reset, timing functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:464817
    • 提供者:刘智虎
  1. 13022

    0下载:
  2. 数字时钟 能够实现报警功能以及秒表功能 有Proteus仿真 比较齐全-shuzishizhong
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:87654
    • 提供者:fengliyu
  1. 1602LCD

    0下载:
  2. LCD1602实现的电子秒表,单片机采用AVR,显示采用液晶显示。-LCD1602 achieve electronic stopwatch, SCM AVR, display with LCD display.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:22733
    • 提供者:陈俊
  1. stopwatch

    0下载:
  2. 基于51系列单片机的秒表程序,外设3个按键-Based on 51 computers stopwatch program, peripherals 3 buttons
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:32889
    • 提供者:钟全刚
  1. 89C51

    0下载:
  2. 电子秒表设计,实现电子计时的汇编源代码,可以秒表计时,也可以倒计时,倒计时提醒功能!-Electronic stopwatch design, implementation, compilation of source code of electronic timing, stopwatch timing can also be the countdown, countdown reminder!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:119590
    • 提供者:刘旺刚
  1. miaobaioyuandaima

    0下载:
  2. 秒表源代码。计时精确到0.01秒,计时led输出。方便实用-Stopwatch source code. Timing accurate to 0.01 seconds, timing led output. Convenient and practical
  3. 所属分类:assembly language

    • 发布日期:2017-04-15
    • 文件大小:7911
    • 提供者:朱印海
  1. 8

    0下载:
  2. 8位数码管秒表程序,51单片机,调试成功,请放心使用!-8-bit digital tube stopwatch program, 51, debugged, please rest assured to use!
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:15535
    • 提供者:cai
  1. key_display

    0下载:
  2. 秒表 verilog 程序非常适合刚接触 vreilog语言的人学习-Stopwatch verilog program is ideal for people new to vreilog language learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:373792
    • 提供者:张江
  1. duogongnengpaobiao

    0下载:
  2. 多功能秒表程序,能够实现用按键控制秒表的停,走,还有归零。 -Multi-function stopwatch program stopwatch can be achieved with keypad control of the stop, go, there is zero.
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:14519
    • 提供者:曹殿斌
  1. Timer

    0下载:
  2. 1,提醒功能。有约会提醒,记念日提醒,每日定时提醒,每周定提醒。 2,秒表。 3,倒计时。 功能多,是学习定时器操作的好东东!-1,Remind function.Included of date、Anniversary、everyday and everyweek remind. 2,Stopwatches. 3,Counting down. It has many functions and is a good material to learn timer.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-02
    • 文件大小:15620
    • 提供者:何润
  1. 5

    0下载:
  2. 基于FPGA的数字秒表的VHDL设计,论文,有主要程序-FPGA-based VHDL design digital stopwatch, paper, a major program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:608
    • 提供者:孤星寒
  1. 3

    0下载:
  2. 】文章介绍了用于体育比赛的数字秒表的VHDL 设计, 并基于FPGA 在MAXPLUS2 软件下, 采用ALTRA 公司FLEX10K 系列的EPF10K10LC84- 4 芯片进行了计算机仿真-】 This article introduces digital stopwatch for sports competition in the VHDL design and FPGA-based software in MAXPLUS2, using ALTRA company FLEX10K
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:50273
    • 提供者:孤星寒
  1. lcd1602

    0下载:
  2. 万年历 电子钟 显示时间 秒表功能 闹钟功能-timer
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:92029
    • 提供者:飞翔
  1. Timer

    0下载:
  2. 毫秒级秒表,精确计时,快捷键,有暂停、记录功能-Millisecond stopwatch, accurate timing, shortcut keys, a pause, record
  3. 所属分类:CSharp

    • 发布日期:2017-05-12
    • 文件大小:2886689
    • 提供者:林寒
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com