CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 秒表 vhdl

搜索资源列表

  1. SIJTQ6tQ

    0下载:
  2. 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。 本设计采用自顶向下、混合输入方式(原理图输入—顶层文件连接和VHDL语言输入—各模块程序设计)实现数字钟的设计、下载和调试。 一、 功能说明 已完成功能 1. 完成秒/分/时的依次显示并正确计数; 2. 秒/分/时各段个位满10正确进位
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:678406
    • 提供者:luoliang
  1. watch

    1下载:
  2. vhdl语言编写的一个秒表源码,包括在LCD上显示的部分,附带TB源码,对初学者比较实用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:98984
    • 提供者:ronniy
  1. C2

    0下载:
  2. 功能更加完善的基于vhdl的数字时钟设计 有秒表,时钟,时期,闹钟的功能和整点报时,时间调整,日期调整,闹钟的设定 、、、、、、、 秒表有开始,暂停,清零等功能,且只有在暂停的情况下才能清零。
  3. 所属分类:其它

    • 发布日期:2014-01-17
    • 文件大小:817138
    • 提供者:张廷
  1. VHDLdesign

    2下载:
  2. EDA课程设计,包含源码和文档说明,实现秒表计数和闹钟功能,使用VHDL语言编写 已完成功能 1. 完成时/分/秒的依次显示并正确计数,利用六位数码管显示; 2. 时/分/秒各段个位满10正确进位,秒/分能做到满60向前进位,有系统时间清零功能; 3. 定时器:实现整点报时,通过扬声器发出高低报时声音; 4. 时间设置,也就是手动调时功能:当认为时钟不准确时,可以分别对分/时钟进行调整; 5. 闹钟:实现分/时闹钟设置,在时钟到达设定时间时通过扬声器响铃。有静音模式
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:18382
    • 提供者:liuxin
  1. time

    0下载:
  2. vhdl语言编写秒表程序 内含每个模块的源程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:235584
    • 提供者:BILL
  1. 1.

    0下载:
  2. 用VHDL硬件描述语言完成秒表的设计,分6个模块
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:49377
    • 提供者:刘小
  1. watch

    0下载:
  2. 一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:412886
    • 提供者:YUJIAN.XU
  1. shuzimiaobiao

    0下载:
  2. 数字秒表的VHDL设计,能精确到百分秒,在6位数码管上显示,分别有秒,分,小时,通过目标芯片EPF10KLC84-4验证
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:460857
    • 提供者:ellala
  1. 用VHDL实现秒表功能

    0下载:
  2. MEI SM
  3. 所属分类:VHDL编程

  1. clock.rar

    0下载:
  2. 用vhdl实现的多功能时钟,有整点响铃,秒表等多种功能,Use VHDL to achieve multi-functional clock, there is the whole point of the bell to ring, a variety of functions such as stopwatch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2561
    • 提供者:liaocongliang
  1. EDAreport

    0下载:
  2. 用VHDL实现秒表功能,即使时间为60分钟,实验报告格式,代码在文档最后。仿真软件使用quartus2-Using VHDL stopwatch function, even if the time is 60 minutes, the test report form, the code at the end of the document. Simulation software use quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:116666
    • 提供者:hedy
  1. VHDL_MIAOBIAO_CODE

    0下载:
  2. 基于CYCLONE系列FPGA EP1C3T144C8的VHDL秒表代码-CYCLONE series based on the FPGA EP1C3T144C8 stopwatch VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:423490
    • 提供者:沈世荣
  1. shiyan3_24

    0下载:
  2. 多功能秒表的设计,VHDL实现,对学习数字逻辑的同学有帮助。-Multi-function stopwatch design, VHDL realize, the students study digital logic has to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:441434
    • 提供者:ZhengHuazhen
  1. watch

    0下载:
  2. 运用VHDL语言编写的秒表程序,能够精确的计时-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:264438
    • 提供者:awige
  1. second

    0下载:
  2. 关于VHDL写的秒表程序,有模块,顶层文件,仅供参考-On the stopwatch to write VHDL procedures modules, top-level documents, for reference only
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:478143
    • 提供者:娃娃
  1. stopwatch

    0下载:
  2. 一个用VHDL编写的秒表程序,可用Max+PlusII仿真-Prepared by a stopwatch with VHDL procedures, Max+ PlusII simulation can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:621048
    • 提供者:jiangshengcheng
  1. szmiaobiao

    0下载:
  2.  应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了系统的开发时间,提高了工作效率。本文介绍一种以FPGA为核心,以VHDL为开发工具的数字秒表,并给出源程序和仿真结果。 -Application of VHDL language design digital systems, a lot of design work can be completed on the computer, thereby reducing system development time a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:627611
    • 提供者:yyyyyy
  1. stopwatch

    0下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的秒表工程项目,有50MHz分频、计数、译码等模块。采用VHDL语言编写。-Quartus II project files, is a typical FPGA-based project of the stopwatch, a 50MHz frequency, counting, decoding modules. Using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:465122
    • 提供者:kg21kg
  1. sfsdfdsf

    0下载:
  2. 基于EDA的秒表的VHDL源代码,计数时间高达24小时。-VHDL-based EDA source code of a stopwatch, counting time up to 24 hours.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:156737
    • 提供者:千语千舒
  1. miaobiao

    0下载:
  2. VHDL语言实现秒表并在共阴数码管上动态显示十进制数值-VHDL language stopwatch and digital control on a total of negative dynamic display decimal values
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:53397
    • 提供者:高天天
« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com