CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 秒表 vhdl

搜索资源列表

  1. stopwatch1

    0下载:
  2. 用vhdl实现的数字秒表,显示四位值,最大计时时间为99.99s,全部通过验证,并且在FPGA上得到很多的结果-Using vhdl implementation of the digital stopwatch display four values, the maximum time time 99.99s, all validated, and get a lot of the FPGA results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:599222
    • 提供者:donglaidongqu
  1. VHDLxiaochengxu

    0下载:
  2. 一些简单的VHDL小程序。 VHDL 小程序源代码: led七段译码 简单调用 秒表 元件例化-Some simple VHDL applet. Small VHDL source code: led seven segment decoding simple example of calling a stopwatch components
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:3457
    • 提供者:高飞
  1. miaobiaosheji

    0下载:
  2. 设计 秒表 VHDL 利用分频 计数 显示等模块实现秒表功能-VHDL design using frequency counts stopwatch display module stopwatch function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:6802
    • 提供者:语庄
  1. stopwatch

    0下载:
  2. 59.59七段数码管VHDL语言编写秒表-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:777
    • 提供者:王红阳
  1. clock

    0下载:
  2. 数字秒表计数 vhdl 译码器 分频器 计数器 报警器-stopwatch counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:712256
    • 提供者:sandra
  1. watch

    0下载:
  2. QuartusII 应用vhdl语言,实现秒表的设计,有暂停键,清零键等功能-QuartusII vhdl language, stopwatch design, Pause, cleared key functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:286302
    • 提供者:张晓瑞
  1. miaobiao

    0下载:
  2. 实验课编写的vhdl程序,秒表适用!具体功能是开始计时,停止,清零!经实验,完美运行!-Vhdl program written by the Lab, stopwatch applicable! Specific start time, stop, clear! The experiment, a perfect run!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1186
    • 提供者:
  1. rungametiming

    0下载:
  2. 这是一个计时器,起到秒表的作用,用vhdl实现-this is a watch used to get the time each runner takes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1028
    • 提供者:zz
  1. DE2_lcd_clk

    0下载:
  2. 用VHDL写的在DE2开发板上的LCD实现的秒表程序-DE2 development board LCD stopwatch program written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:507543
    • 提供者:zhaochengru
  1. wtut_vhd

    0下载:
  2. spartan 3E 1600开发板的秒表计时器源程序,VHDL语言-source code of timer on spartan 3E1600 development board in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:471896
    • 提供者:zhangjianghan
  1. watch_sc4

    0下载:
  2. 用VHDL语言设计一个简易秒表,开发工具是xilinx,有重置功能-design a second-counting watch with Language VHDL and platform xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:249429
    • 提供者:张文莲
  1. A

    0下载:
  2. 基于CPLD的VHDL语言数字钟(含秒表)设计及程序 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过程中即可实现时序仿真)、调试方便、故障率低、修改升级容易等特点。-The VHDL language based on CPLD digital clock (including a stopwatch) design and program By usin
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:95532
    • 提供者:ruohai
  1. watch

    0下载:
  2. 使用vhdl设计数码管显示的秒表; 能够准确的计时并显示; 开机显示00.00.00; 用户可以随时清零、暂停、计时;最大记时59分钟,最小精确到0.01秒。-Vhdl design digital display stopwatch accurate timing and display boot display 00.00.00 Users can be cleared at any time, suspend, timing 59 minutes maximum chronogra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:346613
    • 提供者:陈小龙
  1. EDA

    0下载:
  2. VHDL实现一个整点报时的秒表第一个子程序-VHDL achieve a integral point time of the stopwatch 1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:6600
    • 提供者:于欣雨
  1. miaobiao

    0下载:
  2. 秒表应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。 秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个清零信号,以便秒表能随意停止及启动。 秒表有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为B
  3. 所属分类:Com Port

    • 发布日期:2017-11-09
    • 文件大小:1705
    • 提供者:范增
  1. miaobiao

    0下载:
  2. 秒表的VHDL语言程序,是实验课上一个课程设计,非常正确,非常好用。-Stopwatch VHDL language program is the experimental class curriculum design, very correct, very easy to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:7200
    • 提供者:塚客
  1. EDAmiaobiao

    0下载:
  2. 基于VHDL语言的EDA秒表作业设计,包括分频、秒表主体和数码管显示译码器,附有工程文件和管脚信息(EDA大作业西电02105143)-VHDL language based the EDA the stopwatch job design, including divide the stopwatch the main digital display decoder, with the project file and pin information (EDA Job Western Elec
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-22
    • 文件大小:432846
    • 提供者:VanillaChow
  1. Digital-stopwatch

    0下载:
  2. 数字秒表,用VHDL语言描述,用层次设计概念,将设计任务分成七个子模块,规定每一模块的功能和各模块之间的接口,然后再将各模块合起来形成顶层文件联试。-Digital stopwatch, using VHDL descr iption, level design concept, the design task is divided into seven sub-module to provide the interface between each module functions and m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:200996
    • 提供者:黄玲
  1. Second_VHDL

    0下载:
  2. 本程序是用VHDL实现的秒表,通过对主时钟进行分频得到低速时钟,以调试通过,大家可以参考。-This program is implemented with VHDL stopwatch, low-speed clock master clock divider to debug through, we can refer to.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:44654
    • 提供者:工程师
  1. miaobiao

    0下载:
  2. 用VHDL语言实现对FPGA的程序编写,实现秒表功能。-Using VHDL FPGA program written stopwatch function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:372525
    • 提供者:秦丽媛
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com